module Inverter (in, out, fault); input in, fault; output out; // if fault =1 out is not inverted assign out = fault ? in : ~in; endmodule