# # ChangeLog for / in XOpenSparcT1 # # Generated by Trac 0.12.2 # 05/20/24 08:53:53 Mon, 11 Apr 2011 09:54:25 GMT pntsvt00 [36] * trunk/os2wb/os2wb.v (modified) * trunk/sw/boot.S (modified) * trunk/sw/linker.lds (modified) * trunk/sw/uart.c (modified) * trunk/tools/compila (modified) * trunk/tools/dump2hex.php (modified) modificati i file per la compilazione. boot.s linkato a 0xFFF0000020 Fri, 08 Apr 2011 11:09:12 GMT pntsvt00 [35] * trunk/sw/boot.S (added) versione pre-pre-alpha Fri, 08 Apr 2011 11:08:37 GMT pntsvt00 [34] * trunk/sim/simula.do (modified) * trunk/sw/uart.c (modified) versione pre-pre-alpha Thu, 07 Apr 2011 16:19:25 GMT pntsvt00 [33] * trunk/WB/wb_conbus_top.v (modified) * trunk/os2wb/os2wb.v (modified) * trunk/sw/linker.lds (modified) * trunk/sw/uart.c (modified) * trunk/tools/compila (modified) checkpoint Wed, 06 Apr 2011 14:08:18 GMT pntsvt00 [32] * trunk/sw/linker.lds (added) * trunk/sw/uart.c (added) * trunk/sw/uart.h (added) aggiunti file per programma uart e linker script Wed, 06 Apr 2011 14:06:24 GMT pntsvt00 [31] * trunk/Xilinx/coregen/coregen.cgc (modified) * trunk/synplicity/rev_1/W1.ucf (modified) * trunk/synplicity/rev_1/dram_fifo.ngc (modified) checkpoint: synthesis fail Tue, 05 Apr 2011 20:08:31 GMT pntsvt00 [30] * trunk/sim/memory.hex (modified) * trunk/sw/test.dump (modified) * trunk/tools/compila (moved) * trunk/tools/dump2hex.php (modified) aggiornata tool-chain Tue, 05 Apr 2011 16:45:26 GMT pntsvt00 [29] * trunk/Xilinx/coregen (added) * trunk/Xilinx/coregen/coregen.cgc (added) * trunk/Xilinx/coregen/coregen.cgp (added) * trunk/synplicity/rev_1/pcx_fifo.ngc (modified) * trunk/synplicity/rev_1/run_ise.tcl (modified) * trunk/synplicity/rev_1/synplicity.ucf (modified) added coregen files to recreate ngc from xco Tue, 05 Apr 2011 12:09:24 GMT pntsvt00 [28] * trunk/synplicity/proj_1.prj (modified) * trunk/synplicity/rev_1/run_ise.tcl (modified) Aggiornato prj per sinplify Tue, 05 Apr 2011 09:58:40 GMT pntsvt00 [27] * trunk/NOR-flash/WBFLASH.v (modified) * trunk/T1-common/srams/bw_r_scm.v (modified) * trunk/Top/W1.v (modified) * trunk/Xilinx/cachedir.v (modified) * trunk/os2wb/os2wb.v (modified) * trunk/sim/simula.do (modified) * trunk/sw/hello2.c (added) eliminato baco store consecutivi. esegue correttamente il codice Mon, 04 Apr 2011 11:58:11 GMT pntsvt00 [26] * trunk/T1-CPU/exu/sparc_exu.v (modified) * trunk/T1-CPU/exu/sparc_exu_alu.v (modified) * trunk/T1-common/srams/bw_r_irf.v (modified) * trunk/WB/wb_conbus_top.v (modified) * trunk/Xilinx/cachedir.v (modified) * trunk/os2wb/os2wb.v (modified) * trunk/sim/flash.v (modified) * trunk/sim/simula.do (modified) * trunk/sw/hello.c (modified) * trunk/sw/hello.dump (modified) * trunk/tools/dump2hex.php (modified) checkpoint: baco con store consecutivi Fri, 01 Apr 2011 13:47:15 GMT pntsvt00 [25] * trunk/tools/dump2hex.php (modified) updated dump2hex.php Fri, 01 Apr 2011 10:36:38 GMT pntsvt00 [24] * trunk/Top/W1.v (modified) * trunk/WB2ALTDDR3/dram_wb.v (modified) eliminati due FIXME Fri, 01 Apr 2011 10:30:46 GMT pntsvt00 [23] * trunk/Top/W1.v (modified) * trunk/WB2ALTDDR3/dram_wb.v (modified) * trunk/Xilinx/dram_fifo_fall.v (added) * trunk/Xilinx/dram_fifo_fall.xco (added) * trunk/os2wb/os2wb.v (modified) * trunk/sim/tb_top.v (modified) supera il test di write e read dalla DDR Thu, 31 Mar 2011 12:31:26 GMT pntsvt00 [22] * trunk/NOR-flash/WBFLASH.v (modified) * trunk/T1-common/srams/bw_r_icd.v (modified) * trunk/T1-common/srams/bw_r_irf.v (modified) * trunk/Top/W1.v (modified) * trunk/WB/wb_conbus_top.v (modified) * trunk/WB2ALTDDR3/dram_wb.v (modified) * trunk/os2wb/os2wb.v (modified) * trunk/os2wb/s1_top.v (modified) * trunk/sim/sim.do (deleted) * trunk/sim/sim_tb_top.v (modified) * trunk/sim/simula.do (modified) * trunk/sim/tb_top.v (modified) * trunk/sim/wiredly.vhd (deleted) checkpoint: la DDR effettua l'init Mon, 28 Mar 2011 08:42:33 GMT pntsvt00 [21] * trunk/sim/flash.v (modified) modificato hello.c, ora flash.v legge da memory_hello.hex Mon, 28 Mar 2011 08:42:28 GMT pntsvt00 [20] * trunk/sw/hello.c (modified) modificato hello.c, ora flash.v legge da memory_hello.hex Fri, 25 Mar 2011 18:21:45 GMT pntsvt00 [19] * trunk/sim/hello.dump (deleted) * trunk/sim/memory.hex (modified) * trunk/sw/compila (modified) * trunk/sw/hello.c (added) * trunk/sw/hello.dump (added) * trunk/sw/test.dump (added) * trunk/tools/dump2hex.php (modified) ora ho 2 sorgenti SPARC-V9, memory.hex e memory_hello.hex Fri, 25 Mar 2011 16:38:57 GMT pntsvt00 [18] * trunk/sim/hello.dump (added) * trunk/sim/memory.hex (added) * trunk/sw (added) * trunk/sw/README (added) * trunk/sw/compila (added) * trunk/sw/test.c (added) compilato us sorgente con architettura SPARC-V9 Fri, 25 Mar 2011 12:19:25 GMT pntsvt00 [17] * trunk/Top/W1.v (modified) * trunk/WB/wb_conbus_top.v (modified) * trunk/WB2ALTDDR3/dram_wb.v (modified) * trunk/Xilinx/cachedir.v (modified) * trunk/Xilinx/dram.v (modified) * trunk/os2wb/os2wb.v (modified) * trunk/sim/flash.v (modified) * trunk/sim/simula.do (modified) * trunk/sim/tb_top.v (modified) * trunk/tools (added) * trunk/tools/dump2hex.php (added) la simulazione legge dalla flash Thu, 24 Mar 2011 15:05:49 GMT pntsvt00 [16] * trunk/sim/tb_top.v (modified) ora la simulazione parte Thu, 24 Mar 2011 14:58:51 GMT pntsvt00 [15] * trunk/sim/simula.do (modified) modificato simula.do: ora arriva al Loadimg della simulazione Thu, 24 Mar 2011 14:47:26 GMT pntsvt00 [14] * trunk/Top/W1.v (modified) * trunk/os2wb/os2wb.v (modified) * trunk/os2wb/os2wb_dual.v (modified) * trunk/sim/simula.do (modified) commit per simulazione di os2wb e Top Thu, 24 Mar 2011 14:42:39 GMT pntsvt00 [13] * trunk/sim/simula.do (added) commit dofile per simulazione Thu, 24 Mar 2011 14:38:52 GMT ttvmrc00 [12] * trunk/sim/flash.v (added) aggiunto flash.v Thu, 24 Mar 2011 14:38:46 GMT pntsvt00 [11] * trunk/sim/tb_top.v (added) commit versione simulabile Tue, 22 Mar 2011 20:08:58 GMT pntsvt00 [10] * README.TXT (added) * trunk/Top/W1.v (modified) * trunk/WB2ALTDDR3/dram_wb.v (modified) * trunk/Xilinx/cachedir.v (added) * trunk/Xilinx/ddr2_chipscope.v (added) * trunk/Xilinx/ddr2_ctrl.v (added) * trunk/Xilinx/ddr2_idelay_ctrl.v (added) * trunk/Xilinx/ddr2_infrastructure.v (added) * trunk/Xilinx/ddr2_mem_if_top.v (added) * trunk/Xilinx/ddr2_phy_calib.v (added) * trunk/Xilinx/ddr2_phy_ctl_io.v (added) * trunk/Xilinx/ddr2_phy_dm_iob.v (added) * trunk/Xilinx/ddr2_phy_dq_iob.v (added) * trunk/Xilinx/ddr2_phy_dqs_iob.v (added) * trunk/Xilinx/ddr2_phy_init.v (added) * trunk/Xilinx/ddr2_phy_io.v (added) * trunk/Xilinx/ddr2_phy_top.v (added) * trunk/Xilinx/ddr2_phy_write.v (added) * trunk/Xilinx/ddr2_top.v (added) * trunk/Xilinx/ddr2_usr_addr_fifo.v (added) * trunk/Xilinx/ddr2_usr_rd.v (added) * trunk/Xilinx/ddr2_usr_top.v (added) * trunk/Xilinx/ddr2_usr_wr.v (added) * trunk/Xilinx/dram.v (added) * trunk/Xilinx/pll.v (modified) * trunk/os2wb/os2wb.v (modified) * trunk/os2wb/s1_top.v (modified) * trunk/sim (added) * trunk/sim/ddr2_model.v (added) * trunk/sim/ddr2_model_parameters.vh (added) * trunk/sim/sim.do (added) * trunk/sim/sim_tb_top.v (added) * trunk/sim/sim_tb_top.vhd (added) * trunk/sim/wiredly.v (added) * trunk/sim/wiredly.vhd (added) * trunk/synplicity/proj_1.prj (modified) * trunk/synplicity/rev_1/W1.ucf (added) * trunk/synplicity/rev_1/dram_fifo.ngc (added) * trunk/synplicity/rev_1/pcx_fifo.ngc (added) * trunk/synplicity/rev_1/run_ise.tcl (added) * trunk/synplicity/rev_1/run_xise.tcl (added) * trunk/synplicity/rev_1/synplicity.ucf (added) versione sintetizzabile Tue, 22 Mar 2011 11:52:42 GMT pntsvt00 [9] * trunk/synplicity/rev_1 (added) * trunk/synplicity/rev_1/W1.ucf (added) * trunk/synplicity/rev_1/run_xise.tcl (added) modifiche per la sintesi su Xilinx Tue, 22 Mar 2011 11:51:17 GMT pntsvt00 [8] * trunk/NOR-flash/WBFLASH.v (modified) * trunk/Top/W1.v (modified) * trunk/synplicity/proj_1.prj (modified) modifiche per la sintesi su Xilinx Tue, 22 Mar 2011 11:45:43 GMT pntsvt00 [7] * branches (added) * tags (added) commit totale versione originale opensparc Tue, 22 Mar 2011 11:40:53 GMT pntsvt00 [6] * trunk (added) * trunk/Doc (added) * trunk/NOR-flash (added) * trunk/NOR-flash/WBFLASH.v (added) * trunk/OC-Ethernet (added) * trunk/OC-Ethernet/eth_clockgen.v (added) * trunk/OC-Ethernet/eth_cop.v (added) * trunk/OC-Ethernet/eth_crc.v (added) * trunk/OC-Ethernet/eth_defines.v (added) * trunk/OC-Ethernet/eth_fifo.v (added) * trunk/OC-Ethernet/eth_maccontrol.v (added) * trunk/OC-Ethernet/eth_macstatus.v (added) * trunk/OC-Ethernet/eth_miim.v (added) * trunk/OC-Ethernet/eth_outputcontrol.v (added) * trunk/OC-Ethernet/eth_random.v (added) * trunk/OC-Ethernet/eth_receivecontrol.v (added) * trunk/OC-Ethernet/eth_register.v (added) * trunk/OC-Ethernet/eth_registers.v (added) * trunk/OC-Ethernet/eth_rxaddrcheck.v (added) * trunk/OC-Ethernet/eth_rxcounters.v (added) * trunk/OC-Ethernet/eth_rxethmac.v (added) * trunk/OC-Ethernet/eth_rxstatem.v (added) * trunk/OC-Ethernet/eth_sgmii.v (added) * trunk/OC-Ethernet/eth_shiftreg.v (added) * trunk/OC-Ethernet/eth_spram_256x32.v (added) * trunk/OC-Ethernet/eth_top.v (added) * trunk/OC-Ethernet/eth_transmitcontrol.v (added) * trunk/OC-Ethernet/eth_txcounters.v (added) * trunk/OC-Ethernet/eth_txethmac.v (added) * trunk/OC-Ethernet/eth_txstatem.v (added) * trunk/OC-Ethernet/eth_wishbone.v (added) * trunk/OC-Ethernet/timescale.v (added) * trunk/OC-Ethernet/xilinx_dist_ram_16x32.v (added) * trunk/OC-UART (added) * trunk/OC-UART/raminfr.v (added) * trunk/OC-UART/timescale.v (added) * trunk/OC-UART/uart_debug_if.v (added) * trunk/OC-UART/uart_defines.v (added) * trunk/OC-UART/uart_receiver.v (added) * trunk/OC-UART/uart_regs.v (added) * trunk/OC-UART/uart_rfifo.v (added) * trunk/OC-UART/uart_sync_flops.v (added) * trunk/OC-UART/uart_tfifo.v (added) * trunk/OC-UART/uart_top.v (added) * trunk/OC-UART/uart_transmitter.v (added) * trunk/OC-UART/uart_wb.v (added) * trunk/T1-CPU (added) * trunk/T1-CPU/exu (added) * trunk/T1-CPU/exu/sparc_exu.v (added) * trunk/T1-CPU/exu/sparc_exu_alu.v (added) * trunk/T1-CPU/exu/sparc_exu_alu_16eql.v (added) * trunk/T1-CPU/exu/sparc_exu_aluadder64.v (added) * trunk/T1-CPU/exu/sparc_exu_aluaddsub.v (added) * trunk/T1-CPU/exu/sparc_exu_alulogic.v (added) * trunk/T1-CPU/exu/sparc_exu_aluor32.v (added) * trunk/T1-CPU/exu/sparc_exu_aluspr.v (added) * trunk/T1-CPU/exu/sparc_exu_aluzcmp64.v (added) * trunk/T1-CPU/exu/sparc_exu_byp.v (added) * trunk/T1-CPU/exu/sparc_exu_byp_eccgen.v (added) * trunk/T1-CPU/exu/sparc_exu_div.v (added) * trunk/T1-CPU/exu/sparc_exu_div_32eql.v (added) * trunk/T1-CPU/exu/sparc_exu_div_yreg.v (added) * trunk/T1-CPU/exu/sparc_exu_ecc.v (added) * trunk/T1-CPU/exu/sparc_exu_ecc_dec.v (added) * trunk/T1-CPU/exu/sparc_exu_ecl.v (added) * trunk/T1-CPU/exu/sparc_exu_ecl_cnt6.v (added) * trunk/T1-CPU/exu/sparc_exu_ecl_divcntl.v (added) * trunk/T1-CPU/exu/sparc_exu_ecl_eccctl.v (added) * trunk/T1-CPU/exu/sparc_exu_ecl_mdqctl.v (added) * trunk/T1-CPU/exu/sparc_exu_ecl_wb.v (added) * trunk/T1-CPU/exu/sparc_exu_eclbyplog.v (added) * trunk/T1-CPU/exu/sparc_exu_eclbyplog_rs1.v (added) * trunk/T1-CPU/exu/sparc_exu_eclccr.v (added) * trunk/T1-CPU/exu/sparc_exu_eclcomp7.v (added) * trunk/T1-CPU/exu/sparc_exu_reg.v (added) * trunk/T1-CPU/exu/sparc_exu_rml.v (added) * trunk/T1-CPU/exu/sparc_exu_rml_cwp.v (added) * trunk/T1-CPU/exu/sparc_exu_rml_inc3.v (added) * trunk/T1-CPU/exu/sparc_exu_rndrob.v (added) * trunk/T1-CPU/exu/sparc_exu_shft.v (added) * trunk/T1-CPU/ffu (added) * trunk/T1-CPU/ffu/sparc_ffu.v (added) * trunk/T1-CPU/ffu/sparc_ffu_ctl.v (added) * trunk/T1-CPU/ffu/sparc_ffu_ctl_visctl.v (added) * trunk/T1-CPU/ffu/sparc_ffu_dp.v (added) * trunk/T1-CPU/ffu/sparc_ffu_part_add32.v (added) * trunk/T1-CPU/ffu/sparc_ffu_vis.v (added) * trunk/T1-CPU/ifu (added) * trunk/T1-CPU/ifu/sparc_ifu.v (added) * trunk/T1-CPU/ifu/sparc_ifu_cmp35.v (added) * trunk/T1-CPU/ifu/sparc_ifu_ctr5.v (added) * trunk/T1-CPU/ifu/sparc_ifu_dcl.v (added) * trunk/T1-CPU/ifu/sparc_ifu_dec.v (added) * trunk/T1-CPU/ifu/sparc_ifu_errctl.v (added) * trunk/T1-CPU/ifu/sparc_ifu_errdp.v (added) * trunk/T1-CPU/ifu/sparc_ifu_fcl.v (added) * trunk/T1-CPU/ifu/sparc_ifu_fdp.v (added) * trunk/T1-CPU/ifu/sparc_ifu_ifqctl.v (added) * trunk/T1-CPU/ifu/sparc_ifu_ifqdp.v (added) * trunk/T1-CPU/ifu/sparc_ifu_imd.v (added) * trunk/T1-CPU/ifu/sparc_ifu_incr46.v (added) * trunk/T1-CPU/ifu/sparc_ifu_invctl.v (added) * trunk/T1-CPU/ifu/sparc_ifu_lfsr5.v (added) * trunk/T1-CPU/ifu/sparc_ifu_lru4.v (added) * trunk/T1-CPU/ifu/sparc_ifu_mbist.v (added) * trunk/T1-CPU/ifu/sparc_ifu_milfsm.v (added) * trunk/T1-CPU/ifu/sparc_ifu_par16.v (added) * trunk/T1-CPU/ifu/sparc_ifu_par32.v (added) * trunk/T1-CPU/ifu/sparc_ifu_par34.v (added) * trunk/T1-CPU/ifu/sparc_ifu_rndrob.v (added) * trunk/T1-CPU/ifu/sparc_ifu_sscan.v (added) * trunk/T1-CPU/ifu/sparc_ifu_swl.v (added) * trunk/T1-CPU/ifu/sparc_ifu_swpla.v (added) * trunk/T1-CPU/ifu/sparc_ifu_thrcmpl.v (added) * trunk/T1-CPU/ifu/sparc_ifu_thrfsm.v (added) * trunk/T1-CPU/ifu/sparc_ifu_wseldp.v (added) * trunk/T1-CPU/lsu (added) * trunk/T1-CPU/lsu/lsu.v (added) * trunk/T1-CPU/lsu/lsu_asi_decode.v (added) * trunk/T1-CPU/lsu/lsu_dc_parity_gen.v (added) * trunk/T1-CPU/lsu/lsu_dcache_lfsr.v (added) * trunk/T1-CPU/lsu/lsu_dcdp.v (added) * trunk/T1-CPU/lsu/lsu_dctl.v (added) * trunk/T1-CPU/lsu/lsu_dctldp.v (added) * trunk/T1-CPU/lsu/lsu_excpctl.v (added) * trunk/T1-CPU/lsu/lsu_pcx_qmon.v (added) * trunk/T1-CPU/lsu/lsu_qctl1.v (added) * trunk/T1-CPU/lsu/lsu_qctl2.v (added) * trunk/T1-CPU/lsu/lsu_qdp1.v (added) * trunk/T1-CPU/lsu/lsu_qdp2.v (added) * trunk/T1-CPU/lsu/lsu_rrobin_picker2.v (added) * trunk/T1-CPU/lsu/lsu_stb_ctl.v (added) * trunk/T1-CPU/lsu/lsu_stb_ctldp.v (added) * trunk/T1-CPU/lsu/lsu_stb_rwctl.v (added) * trunk/T1-CPU/lsu/lsu_stb_rwdp.v (added) * trunk/T1-CPU/lsu/lsu_tagdp.v (added) * trunk/T1-CPU/lsu/lsu_tlbdp.v (added) * trunk/T1-CPU/mul (added) * trunk/T1-CPU/mul/mul64.v (added) * trunk/T1-CPU/mul/sparc_mul_cntl.v (added) * trunk/T1-CPU/mul/sparc_mul_dp.v (added) * trunk/T1-CPU/mul/sparc_mul_top.v (added) * trunk/T1-CPU/rtl (added) * trunk/T1-CPU/rtl/bw_clk_cl_sparc_cmp.v (added) * trunk/T1-CPU/rtl/cpx_spc_buf.v (added) * trunk/T1-CPU/rtl/cpx_spc_rpt.v (added) * trunk/T1-CPU/rtl/sparc.v (added) * trunk/T1-CPU/rtl/spc_pcx_buf.v (added) * trunk/T1-CPU/spu (added) * trunk/T1-CPU/spu/spu.v (added) * trunk/T1-CPU/spu/spu_ctl.v (added) * trunk/T1-CPU/spu/spu_lsurpt.v (added) * trunk/T1-CPU/spu/spu_lsurpt1.v (added) * trunk/T1-CPU/spu/spu_maaddr.v (added) * trunk/T1-CPU/spu/spu_maaeqb.v (added) * trunk/T1-CPU/spu/spu_mactl.v (added) * trunk/T1-CPU/spu/spu_madp.v (added) * trunk/T1-CPU/spu/spu_maexp.v (added) * trunk/T1-CPU/spu/spu_mald.v (added) * trunk/T1-CPU/spu/spu_mamul.v (added) * trunk/T1-CPU/spu/spu_mared.v (added) * trunk/T1-CPU/spu/spu_mast.v (added) * trunk/T1-CPU/spu/spu_wen.v (added) * trunk/T1-CPU/tlu (added) * trunk/T1-CPU/tlu/sparc_tlu_dec64.v (added) * trunk/T1-CPU/tlu/sparc_tlu_intctl.v (added) * trunk/T1-CPU/tlu/sparc_tlu_intdp.v (added) * trunk/T1-CPU/tlu/sparc_tlu_penc64.v (added) * trunk/T1-CPU/tlu/sparc_tlu_zcmp64.v (added) * trunk/T1-CPU/tlu/tlu.v (added) * trunk/T1-CPU/tlu/tlu_addern_32.v (added) * trunk/T1-CPU/tlu/tlu_hyperv.v (added) * trunk/T1-CPU/tlu/tlu_incr64.v (added) * trunk/T1-CPU/tlu/tlu_misctl.v (added) * trunk/T1-CPU/tlu/tlu_mmu_ctl.v (added) * trunk/T1-CPU/tlu/tlu_mmu_dp.v (added) * trunk/T1-CPU/tlu/tlu_pib.v (added) * trunk/T1-CPU/tlu/tlu_prencoder16.v (added) * trunk/T1-CPU/tlu/tlu_rrobin_picker.v (added) * trunk/T1-CPU/tlu/tlu_tcl.v (added) * trunk/T1-CPU/tlu/tlu_tdp.v (added) * trunk/T1-FPU (added) * trunk/T1-FPU/bw_clk_cl_fpu_cmp.v (added) * trunk/T1-FPU/fpu.v (added) * trunk/T1-FPU/fpu_add.v (added) * trunk/T1-FPU/fpu_add_ctl.v (added) * trunk/T1-FPU/fpu_add_exp_dp.v (added) * trunk/T1-FPU/fpu_add_frac_dp.v (added) * trunk/T1-FPU/fpu_cnt_lead0_53b.v (added) * trunk/T1-FPU/fpu_cnt_lead0_64b.v (added) * trunk/T1-FPU/fpu_cnt_lead0_lvl1.v (added) * trunk/T1-FPU/fpu_cnt_lead0_lvl2.v (added) * trunk/T1-FPU/fpu_cnt_lead0_lvl3.v (added) * trunk/T1-FPU/fpu_cnt_lead0_lvl4.v (added) * trunk/T1-FPU/fpu_denorm_3b.v (added) * trunk/T1-FPU/fpu_denorm_3to1.v (added) * trunk/T1-FPU/fpu_denorm_frac.v (added) * trunk/T1-FPU/fpu_div.v (added) * trunk/T1-FPU/fpu_div_ctl.v (added) * trunk/T1-FPU/fpu_div_exp_dp.v (added) * trunk/T1-FPU/fpu_div_frac_dp.v (added) * trunk/T1-FPU/fpu_in.v (added) * trunk/T1-FPU/fpu_in2_gt_in1_2b.v (added) * trunk/T1-FPU/fpu_in2_gt_in1_3b.v (added) * trunk/T1-FPU/fpu_in2_gt_in1_3to1.v (added) * trunk/T1-FPU/fpu_in2_gt_in1_frac.v (added) * trunk/T1-FPU/fpu_in_ctl.v (added) * trunk/T1-FPU/fpu_in_dp.v (added) * trunk/T1-FPU/fpu_mul.v (added) * trunk/T1-FPU/fpu_mul_ctl.v (added) * trunk/T1-FPU/fpu_mul_exp_dp.v (added) * trunk/T1-FPU/fpu_mul_frac_dp.v (added) * trunk/T1-FPU/fpu_out.v (added) * trunk/T1-FPU/fpu_out_ctl.v (added) * trunk/T1-FPU/fpu_out_dp.v (added) * trunk/T1-FPU/fpu_rptr_groups.v (added) * trunk/T1-FPU/fpu_rptr_macros.v (added) * trunk/T1-FPU/fpu_rptr_min_global.v (added) * trunk/T1-common (added) * trunk/T1-common/common (added) * trunk/T1-common/common/cluster_header.v (added) * trunk/T1-common/common/cluster_header_ctu.v (added) * trunk/T1-common/common/cluster_header_dup.v (added) * trunk/T1-common/common/cluster_header_sync.v (added) * trunk/T1-common/common/cmp_sram_redhdr.v (added) * trunk/T1-common/common/dbl_buf.v (added) * trunk/T1-common/common/swrvr_clib.v (added) * trunk/T1-common/common/swrvr_dlib.v (added) * trunk/T1-common/common/sync_pulse_synchronizer.v (added) * trunk/T1-common/common/synchronizer_asr.v (added) * trunk/T1-common/common/synchronizer_asr_dup.v (added) * trunk/T1-common/common/test_stub_bist.v (added) * trunk/T1-common/common/test_stub_scan.v (added) * trunk/T1-common/common/ucb_bus_in.v (added) * trunk/T1-common/common/ucb_bus_out.v (added) * trunk/T1-common/common/ucb_flow_2buf.v (added) * trunk/T1-common/common/ucb_flow_jbi.v (added) * trunk/T1-common/common/ucb_flow_spi.v (added) * trunk/T1-common/common/ucb_noflow.v (added) * trunk/T1-common/include (added) * trunk/T1-common/include/ifu.h (added) * trunk/T1-common/include/iop.h (added) * trunk/T1-common/include/lsu.h (added) * trunk/T1-common/include/sys.h (added) * trunk/T1-common/include/sys_paths.h (added) * trunk/T1-common/include/tlu.h (added) * trunk/T1-common/include/xst_defines.h (added) * trunk/T1-common/m1 (added) * trunk/T1-common/m1/m1.V (added) * trunk/T1-common/srams (added) * trunk/T1-common/srams/Flist.srams (added) * trunk/T1-common/srams/bw_r_cm16x40.v (added) * trunk/T1-common/srams/bw_r_cm16x40b.v (added) * trunk/T1-common/srams/bw_r_dcd.v (added) * trunk/T1-common/srams/bw_r_dcm.v (added) * trunk/T1-common/srams/bw_r_efa.v (added) * trunk/T1-common/srams/bw_r_frf.v (added) * trunk/T1-common/srams/bw_r_icd.v (added) * trunk/T1-common/srams/bw_r_idct.v (added) * trunk/T1-common/srams/bw_r_irf.v (added) * trunk/T1-common/srams/bw_r_irf_fpga1.v (added) * trunk/T1-common/srams/bw_r_irf_register.v (added) * trunk/T1-common/srams/bw_r_l2d.v (added) * trunk/T1-common/srams/bw_r_l2d_32k.v (added) * trunk/T1-common/srams/bw_r_l2d_rep_bot.v (added) * trunk/T1-common/srams/bw_r_l2d_rep_top.v (added) * trunk/T1-common/srams/bw_r_l2t.v (added) * trunk/T1-common/srams/bw_r_rf16x128d.v (added) * trunk/T1-common/srams/bw_r_rf16x160.v (added) * trunk/T1-common/srams/bw_r_rf16x32.v (added) * trunk/T1-common/srams/bw_r_rf32x108.v (added) * trunk/T1-common/srams/bw_r_rf32x152b.v (added) * trunk/T1-common/srams/bw_r_rf32x80.v (added) * trunk/T1-common/srams/bw_r_scm.v (added) * trunk/T1-common/srams/bw_r_tlb.v (added) * trunk/T1-common/srams/bw_r_tlb_fpga.v (added) * trunk/T1-common/srams/bw_rf_16x65.v (added) * trunk/T1-common/srams/bw_rf_16x81.v (added) * trunk/T1-common/srams/regfile_1w_4r.v (added) * trunk/T1-common/u1 (added) * trunk/T1-common/u1/u1.V (added) * trunk/Top (added) * trunk/Top/W1.v (added) * trunk/WB (added) * trunk/WB/wb_conbus_arb.v (added) * trunk/WB/wb_conbus_defines.v (added) * trunk/WB/wb_conbus_top.v (added) * trunk/WB2ALTDDR3 (added) * trunk/WB2ALTDDR3/dram_wb.v (added) * trunk/Xilinx (added) * trunk/Xilinx/dram.veo (added) * trunk/Xilinx/dram.xco (added) * trunk/Xilinx/dram_fifo.v (added) * trunk/Xilinx/dram_fifo.veo (added) * trunk/Xilinx/dram_fifo.xco (added) * trunk/Xilinx/pcx_fifo.v (added) * trunk/Xilinx/pcx_fifo.veo (added) * trunk/Xilinx/pcx_fifo.xco (added) * trunk/Xilinx/pll.v (added) * trunk/Xilinx/pll.xaw (added) * trunk/ml50x_U1_fpga.ucf (added) * trunk/os2wb (added) * trunk/os2wb/l1ddir.v (added) * trunk/os2wb/l1dir.v (added) * trunk/os2wb/l1idir.v (added) * trunk/os2wb/os2wb.v (added) * trunk/os2wb/os2wb_dual.v (added) * trunk/os2wb/rst_ctrl.v (added) * trunk/os2wb/s1_top.v (added) * trunk/synplicity (added) * trunk/synplicity/proj_1.prj (added) versione iniziale opensparc Tue, 22 Mar 2011 10:22:13 GMT pntsvt00 [5] * p (deleted) * p2 (deleted) * pippo.txt (deleted) azzero il repository Tue, 22 Mar 2011 10:08:17 GMT pntsvt00 [4] * p2 (added) ciao Tue, 22 Mar 2011 09:36:30 GMT crrpla00 [3] * pippo.txt (modified) modifica 2 Mon, 07 Feb 2011 15:58:20 GMT ccd [2] * pippo.txt (added) aggiunto pippo Mon, 07 Feb 2011 15:38:57 GMT ccd [1] * p (added) prova invio 1