source: HDLQ/TestBenches/TBWIREb.v @ 1

Revision 1, 404 bytes checked in by ttvmrc00, 13 years ago (diff)

upload iniziale

  • Property svn:executable set to *
Line 
1module test_bench_wireb ;
2reg a; 
3reg [1:0]status;
4reg b;
5
6Wire_bi3 w1(
7        .A(in), 
8        .B(out), 
9        .status(status)
10        );
11//assign  in = (status == 2'b10 )? 1'bz :a;
12assign in = a ;
13assign  out = b;
14initial
15begin
16status=2'b0;
17a=1;
18b=1'bz;
19end
20always 
21 #5 status <= status +1;
22always
23begin
24#12.5  a <= 1'bz;
25#25 a <= 1;
26// #10 a<=  1;
27// #12 a<=  0;
28end
29always
30begin
31#17.5 b<=0;
32#12 b<=1;
33#5b<=1'bz;
34end
35endmodule
Note: See TracBrowser for help on using the repository browser.