source: XOpenSparcT1/trunk/T1-CPU/exu/sparc_exu_eclbyplog_rs1.v @ 6

Revision 6, 7.6 KB checked in by pntsvt00, 13 years ago (diff)

versione iniziale opensparc

Line 
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T1 Processor File: sparc_exu_eclbyplog_rs1.v
4// Copyright (c) 2006 Sun Microsystems, Inc.  All Rights Reserved.
5// DO NOT ALTER OR REMOVE COPYRIGHT NOTICES.
6//
7// The above named program is free software; you can redistribute it and/or
8// modify it under the terms of the GNU General Public
9// License version 2 as published by the Free Software Foundation.
10//
11// The above named program is distributed in the hope that it will be
12// useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
13// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14// General Public License for more details.
15//
16// You should have received a copy of the GNU General Public
17// License along with this work; if not, write to the Free Software
18// Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
19//
20// ========== Copyright Header End ============================================
21////////////////////////////////////////////////////////////////////////
22/*
23//  Module Name: sparc_exu_eclbyplog_rs1
24//      Description: This block implements the bypass logic for a single
25//      operand.  It takes the destination registers of all
26//      four forwarding sources and the rs.  It also has the
27//      thread for the instruction in each stage and whether
28//      the instruction writes to the register file.  It won't
29//      bypass if bypass_enable is low or rs =0.  This is for the
30//      special case of rs1 which has two bypass sets.  One uses
31//      the pc as an input (other) and one does not. 
32*/
33module sparc_exu_eclbyplog_rs1 (/*AUTOARG*/
34   // Outputs
35   rs_sel_mux1_m, rs_sel_mux1_w, rs_sel_mux1_w2, rs_sel_mux1_other, 
36   rs_sel_mux2_usemux1, rs_sel_mux2_rf, rs_sel_mux2_e, 
37   rs_sel_mux2_ld, rs_sel_longmux_g2, rs_sel_longmux_w2, 
38   rs_sel_longmux_ldxa, ecl_byp_rcc_mux1_sel_m, 
39   ecl_byp_rcc_mux1_sel_w, ecl_byp_rcc_mux1_sel_w2, 
40   ecl_byp_rcc_mux1_sel_other, ecl_byp_rcc_mux2_sel_usemux1, 
41   ecl_byp_rcc_mux2_sel_rf, ecl_byp_rcc_mux2_sel_e, 
42   ecl_byp_rcc_mux2_sel_ld, 
43   // Inputs
44   sehold, use_other, rs, rd_e, rd_m, ecl_irf_rd_w, ld_rd_g, 
45   wb_byplog_rd_w2, wb_byplog_rd_g2, tid_d, thr_match_de, 
46   thr_match_dm, ecl_irf_tid_w, ld_thr_match_dg, wb_byplog_tid_w2, 
47   ld_thr_match_dg2, ifu_exu_kill_e, wb_e, bypass_m, 
48   lsu_exu_dfill_vld_g, bypass_w, wb_byplog_wen_w2, wb_byplog_wen_g2, 
49   ecl_byp_ldxa_g
50   ) ;
51   input sehold;
52   input use_other;
53   input [4:0] rs;              // source register
54   input [4:0] rd_e;            // destination regs for all stages
55   input [4:0] rd_m;
56   input [4:0] ecl_irf_rd_w;
57   input [4:0] ld_rd_g;
58   input [4:0] wb_byplog_rd_w2;
59   input [4:0] wb_byplog_rd_g2;
60   input [1:0] tid_d;
61   input       thr_match_de;
62   input       thr_match_dm;
63   input [1:0] ecl_irf_tid_w;
64   input       ld_thr_match_dg;
65   input [1:0] wb_byplog_tid_w2;
66   input       ld_thr_match_dg2;
67   input       ifu_exu_kill_e;
68   input       wb_e;            // whether each stage writes to reg
69   input       bypass_m;            // file
70   input       lsu_exu_dfill_vld_g;
71   input       bypass_w;
72   input       wb_byplog_wen_w2;
73   input       wb_byplog_wen_g2;
74   input       ecl_byp_ldxa_g;
75
76   output      rs_sel_mux1_m;
77   output      rs_sel_mux1_w;
78   output      rs_sel_mux1_w2;
79   output      rs_sel_mux1_other;
80   output      rs_sel_mux2_usemux1;
81   output      rs_sel_mux2_rf;
82   output      rs_sel_mux2_e;
83   output      rs_sel_mux2_ld;
84   output      rs_sel_longmux_g2;
85   output      rs_sel_longmux_w2;
86   output      rs_sel_longmux_ldxa;
87   output      ecl_byp_rcc_mux1_sel_m;
88   output      ecl_byp_rcc_mux1_sel_w;
89   output      ecl_byp_rcc_mux1_sel_w2;
90   output      ecl_byp_rcc_mux1_sel_other;
91   output      ecl_byp_rcc_mux2_sel_usemux1;
92   output      ecl_byp_rcc_mux2_sel_rf;
93   output      ecl_byp_rcc_mux2_sel_e;
94   output      ecl_byp_rcc_mux2_sel_ld;
95   
96
97   wire         use_e, use_m, use_w, use_w2, use_rf, use_ld, use_ldxa;
98   wire         match_e, match_m, match_w, match_w2, match_ld; // outputs of comparison
99   wire         match_g2;
100   wire         bypass;         // boolean that allows bypassing
101   wire         rs_is_nonzero;
102   wire   rcc_bypass;
103
104   // Don't bypass if rs == 0 or we are supposed to use other
105   assign       rs_is_nonzero = rs[0]|rs[1]|rs[2]|rs[3]|rs[4];
106   assign       bypass = rs_is_nonzero & ~use_other & ~sehold;
107
108   // Normal pipe priority: E, M, W, RF
109   // Ld priority: LD, RF
110   // W2 priority: W2, RF
111   assign       use_e = match_e & wb_e & ~ifu_exu_kill_e;
112   assign       use_m = match_m & bypass_m & ~use_e;
113   assign       use_w = match_w & bypass_w & ~use_m & ~use_e;
114   assign       use_ld = match_ld & lsu_exu_dfill_vld_g & ~ecl_byp_ldxa_g;
115   assign       use_ldxa = match_ld & ecl_byp_ldxa_g;
116   assign       use_w2 = (match_w2 & wb_byplog_wen_w2 | match_g2 & wb_byplog_wen_g2) & ~use_e & ~use_m;
117   assign       use_rf = ~use_w2 & ~use_w & ~use_m & ~use_e & ~use_ld & ~use_ldxa;
118
119   // mux1[M, W, W2, OTHER(optional)]
120   // mux2[mux1, RF, E, LD]
121   assign       rs_sel_mux2_e = (use_e & bypass);
122   assign       rs_sel_mux2_rf = ((use_rf | ~bypass) & ~use_other);
123   assign       rs_sel_mux2_ld = (use_ld & ~use_e  & ~use_w & ~use_m & ~use_w2 & bypass);
124   assign       rs_sel_mux2_usemux1 = (use_other & ~sehold) | (~rs_sel_mux1_other & ~use_e);
125   assign rs_sel_mux1_other = ~((use_m | use_w | use_w2 | use_ldxa) & bypass);
126   assign rs_sel_mux1_w2 = ((use_ldxa | use_w2) & bypass);
127   assign rs_sel_mux1_w = (use_w & ~use_w2 & ~use_ldxa & bypass);
128   assign rs_sel_mux1_m = (use_m & ~use_w2 & ~use_ldxa & bypass);
129
130   assign rs_sel_longmux_ldxa = use_ldxa;
131   assign rs_sel_longmux_g2 = match_g2 & wb_byplog_wen_g2 & ~use_ldxa;
132   assign rs_sel_longmux_w2 = ~use_ldxa & ~(match_g2 & wb_byplog_wen_g2);
133   
134   // Bypassing for cc generation (don't use other input)
135   assign rcc_bypass = rs_is_nonzero;
136   assign ecl_byp_rcc_mux2_sel_e = use_e & rcc_bypass;
137   assign ecl_byp_rcc_mux2_sel_rf = use_rf | ~rcc_bypass;
138   assign ecl_byp_rcc_mux2_sel_ld = use_ld & ~use_e  & ~use_w & ~use_m & ~use_w2 & rcc_bypass;
139   assign ecl_byp_rcc_mux2_sel_usemux1 = (use_m | use_w | use_w2 | use_ldxa) & rcc_bypass & ~use_e;
140   assign ecl_byp_rcc_mux1_sel_other = ~(use_m | use_w | use_w2 | use_ldxa);
141   assign ecl_byp_rcc_mux1_sel_w2 = use_w2 | use_ldxa;
142   assign ecl_byp_rcc_mux1_sel_w = use_w & ~use_w2 & ~use_ldxa;
143   assign ecl_byp_rcc_mux1_sel_m = use_m & ~use_w2 & ~use_ldxa;
144   
145   // Comparisons
146   assign match_e = thr_match_de & (rs[4:0] == rd_e[4:0]);
147//   sparc_exu_eclcomp7 e_comp7(.out(match_e), .in1({tid_d[1:0],rs[4:0]}),
148//                              .in2({ecl_rml_tid_e[1:0],rd_e[4:0]}));
149   assign match_m = thr_match_dm & (rs[4:0] == rd_m[4:0]);
150//   sparc_exu_eclcomp7 m_comp7(.out(match_m), .in1({tid_d[1:0],rs[4:0]}),
151//                              .in2({tid_m[1:0],rd_m[4:0]}));
152   sparc_exu_eclcomp7 w_comp7(.out(match_w), .in1({tid_d[1:0],rs[4:0]}),
153                              .in2({ecl_irf_tid_w[1:0],ecl_irf_rd_w[4:0]}));
154   sparc_exu_eclcomp7 w2_comp7(.out(match_w2), .in1({tid_d[1:0],rs[4:0]}),
155                               .in2({wb_byplog_tid_w2[1:0],wb_byplog_rd_w2[4:0]}));
156   assign match_ld = ld_thr_match_dg & (rs[4:0] == ld_rd_g[4:0]);
157   assign match_g2 = ld_thr_match_dg2 & (rs[4:0] == wb_byplog_rd_g2[4:0]);
158/* -----\/----- EXCLUDED -----\/-----
159   sparc_exu_eclcomp7 ld_comp7(.out(match_ld), .in1({tid_d[1:0],rs[4:0]}),
160                               .in2({ld_tid_g[1:0],ld_rd_g[4:0]}));
161   sparc_exu_eclcomp7 g2_comp7(.out(match_g2), .in1({tid_d[1:0],rs[4:0]}),
162                               .in2({wb_byplog_tid_g2[1:0],wb_byplog_rd_g2[4:0]}));
163 -----/\----- EXCLUDED -----/\----- */
164
165   
166endmodule // sparc_exu_eclbyplog
Note: See TracBrowser for help on using the repository browser.