source: XOpenSparcT1/trunk/WB/wb_conbus_top.v @ 6

Revision 6, 23.2 KB checked in by pntsvt00, 13 years ago (diff)

versione iniziale opensparc

Line 
1/////////////////////////////////////////////////////////////////////
2////                                                             ////
3////  WISHBONE Connection Bus Top Level                          ////
4////                                                             ////
5////                                                             ////
6////  Author: Johny Chi                                          ////
7////          chisuhua@yahoo.com.cn                              ////
8////                                                             ////
9////                                                             ////
10////                                                             ////
11/////////////////////////////////////////////////////////////////////
12////                                                              ////
13//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
14////                                                              ////
15//// This source file may be used and distributed without         ////
16//// restriction provided that this copyright statement is not    ////
17//// removed from the file and that any derivative work contains  ////
18//// the original copyright notice and the associated disclaimer. ////
19////                                                              ////
20//// This source file is free software; you can redistribute it   ////
21//// and/or modify it under the terms of the GNU Lesser General   ////
22//// Public License as published by the Free Software Foundation; ////
23//// either version 2.1 of the License, or (at your option) any   ////
24//// later version.                                               ////
25////                                                              ////
26//// This source is distributed in the hope that it will be       ////
27//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
28//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
29//// PURPOSE.  See the GNU Lesser General Public License for more ////
30//// details.                                                     ////
31////                                                              ////
32//// You should have received a copy of the GNU Lesser General    ////
33//// Public License along with this source; if not, download it   ////
34//// from http://www.opencores.org/lgpl.shtml                     ////
35////                                                              ////
36//////////////////////////////////////////////////////////////////////
37//
38//  Description
39//      1. Up to 8 masters and 8 slaves share bus Wishbone connection
40//      2. no priorty arbitor , 8 masters are processed in a round
41//         robin way,
42//      3. if WB_USE_TRISTATE was defined, the share bus is a tristate
43//         bus, and use less logic resource.
44//      4. wb_conbus was synthesis to XC2S100-5-PQ208 using synplify,
45//     Max speed >60M , and 374 SLICE if using Multiplexor bus
46//              or 150 SLICE if using tri-state bus.
47//
48`include "wb_conbus_defines.v"
49`define                 dw       64             // Data bus Width
50`define                 aw       64             // Address bus Width
51`define                 sw   `dw / 8    // Number of Select Lines
52`define                 mbusw  `aw + `sw + `dw +4       //address width + byte select width + dat width + cyc + we + stb +cab , input from master interface
53`define                 sbusw    3      //  ack + err + rty, input from slave interface
54`define                 mselectw  8     // number of masters
55`define                 sselectw  8     // number of slavers
56
57//`define               WB_USE_TRISTATE
58
59
60module wb_conbus_top(
61        clk_i, rst_i,
62
63        // Master 0 Interface
64        m0_dat_i, m0_dat_o, m0_adr_i, m0_sel_i, m0_we_i, m0_cyc_i,
65        m0_stb_i, m0_ack_o, m0_err_o, m0_rty_o, m0_cab_i,
66
67        // Master 1 Interface
68        m1_dat_i, m1_dat_o, m1_adr_i, m1_sel_i, m1_we_i, m1_cyc_i,
69        m1_stb_i, m1_ack_o, m1_err_o, m1_rty_o, m1_cab_i,
70
71        // Master 2 Interface
72        m2_dat_i, m2_dat_o, m2_adr_i, m2_sel_i, m2_we_i, m2_cyc_i,
73        m2_stb_i, m2_ack_o, m2_err_o, m2_rty_o, m2_cab_i,
74
75        // Master 3 Interface
76        m3_dat_i, m3_dat_o, m3_adr_i, m3_sel_i, m3_we_i, m3_cyc_i,
77        m3_stb_i, m3_ack_o, m3_err_o, m3_rty_o, m3_cab_i,
78
79        // Master 4 Interface
80        m4_dat_i, m4_dat_o, m4_adr_i, m4_sel_i, m4_we_i, m4_cyc_i,
81        m4_stb_i, m4_ack_o, m4_err_o, m4_rty_o, m4_cab_i,
82
83        // Master 5 Interface
84        m5_dat_i, m5_dat_o, m5_adr_i, m5_sel_i, m5_we_i, m5_cyc_i,
85        m5_stb_i, m5_ack_o, m5_err_o, m5_rty_o, m5_cab_i,
86
87        // Master 6 Interface
88        m6_dat_i, m6_dat_o, m6_adr_i, m6_sel_i, m6_we_i, m6_cyc_i,
89        m6_stb_i, m6_ack_o, m6_err_o, m6_rty_o, m6_cab_i,
90
91        // Master 7 Interface
92        m7_dat_i, m7_dat_o, m7_adr_i, m7_sel_i, m7_we_i, m7_cyc_i,
93        m7_stb_i, m7_ack_o, m7_err_o, m7_rty_o, m7_cab_i,
94
95        // Slave 0 Interface
96        s0_dat_i, s0_dat_o, s0_adr_o, s0_sel_o, s0_we_o, s0_cyc_o,
97        s0_stb_o, s0_ack_i, s0_err_i, s0_rty_i, s0_cab_o,
98
99        // Slave 1 Interface
100        s1_dat_i, s1_dat_o, s1_adr_o, s1_sel_o, s1_we_o, s1_cyc_o,
101        s1_stb_o, s1_ack_i, s1_err_i, s1_rty_i, s1_cab_o,
102
103        // Slave 2 Interface
104        s2_dat_i, s2_dat_o, s2_adr_o, s2_sel_o, s2_we_o, s2_cyc_o,
105        s2_stb_o, s2_ack_i, s2_err_i, s2_rty_i, s2_cab_o,
106
107        // Slave 3 Interface
108        s3_dat_i, s3_dat_o, s3_adr_o, s3_sel_o, s3_we_o, s3_cyc_o,
109        s3_stb_o, s3_ack_i, s3_err_i, s3_rty_i, s3_cab_o,
110
111        // Slave 4 Interface
112        s4_dat_i, s4_dat_o, s4_adr_o, s4_sel_o, s4_we_o, s4_cyc_o,
113        s4_stb_o, s4_ack_i, s4_err_i, s4_rty_i, s4_cab_o,
114
115        // Slave 5 Interface
116        s5_dat_i, s5_dat_o, s5_adr_o, s5_sel_o, s5_we_o, s5_cyc_o,
117        s5_stb_o, s5_ack_i, s5_err_i, s5_rty_i, s5_cab_o,
118
119        // Slave 6 Interface
120        s6_dat_i, s6_dat_o, s6_adr_o, s6_sel_o, s6_we_o, s6_cyc_o,
121        s6_stb_o, s6_ack_i, s6_err_i, s6_rty_i, s6_cab_o,
122
123        // Slave 7 Interface
124        s7_dat_i, s7_dat_o, s7_adr_o, s7_sel_o, s7_we_o, s7_cyc_o,
125        s7_stb_o, s7_ack_i, s7_err_i, s7_rty_i, s7_cab_o
126
127        );
128
129////////////////////////////////////////////////////////////////////
130//
131// Module Parameters
132//
133
134
135parameter               s0_addr_w = 1 ;                 // slave 0 address decode width
136parameter               s0_addr = 1'b0; // slave 0 address
137parameter               s1_addr_w = 41 ;                        // slave 1 address decode width
138parameter               s1_addr = {40'h800000FFF0,1'b0};        // slave 1 address
139parameter               s2_addr_w = 56 ;                   
140parameter               s2_addr = {56'h800000FFF0C2C1}; // slave 2 address
141parameter               s3_addr_w = 60 ;                   
142parameter               s3_addr = {60'h800000FFF0C2C00};        // slave 3 address
143parameter               s4_addr_w = 37 ;                   
144parameter               s4_addr = {36'h800000FFF,1'b1}; // slave 4 address
145parameter               s5_addr_w = 60 ;                   
146parameter               s5_addr = {60'h400000F00000000};        // slave 5 address
147parameter               s6_addr_w = 60 ;                   
148parameter               s6_addr = {60'h500000F00000000};        // slave 6 address
149parameter               s7_addr_w = 60 ;                   
150parameter               s7_addr = {60'h600000F00000000};        // slave 7 address
151
152
153////////////////////////////////////////////////////////////////////
154//
155// Module IOs
156//
157
158input           clk_i, rst_i;
159
160// Master 0 Interface
161input   [`dw-1:0]       m0_dat_i;
162output  [`dw-1:0]       m0_dat_o;
163input   [`aw-1:0]       m0_adr_i;
164input   [`sw-1:0]       m0_sel_i;
165input                   m0_we_i;
166input                   m0_cyc_i;
167input                   m0_stb_i;
168input                   m0_cab_i;
169output                  m0_ack_o;
170output                  m0_err_o;
171output                  m0_rty_o;
172
173// Master 1 Interface
174input   [`dw-1:0]       m1_dat_i;
175output  [`dw-1:0]       m1_dat_o;
176input   [`aw-1:0]       m1_adr_i;
177input   [`sw-1:0]       m1_sel_i;
178input                   m1_we_i;
179input                   m1_cyc_i;
180input                   m1_stb_i;
181input                   m1_cab_i;
182output                  m1_ack_o;
183output                  m1_err_o;
184output                  m1_rty_o;
185
186// Master 2 Interface
187input   [`dw-1:0]       m2_dat_i;
188output  [`dw-1:0]       m2_dat_o;
189input   [`aw-1:0]       m2_adr_i;
190input   [`sw-1:0]       m2_sel_i;
191input                   m2_we_i;
192input                   m2_cyc_i;
193input                   m2_stb_i;
194input                   m2_cab_i;
195output                  m2_ack_o;
196output                  m2_err_o;
197output                  m2_rty_o;
198
199// Master 3 Interface
200input   [`dw-1:0]       m3_dat_i;
201output  [`dw-1:0]       m3_dat_o;
202input   [`aw-1:0]       m3_adr_i;
203input   [`sw-1:0]       m3_sel_i;
204input                   m3_we_i;
205input                   m3_cyc_i;
206input                   m3_stb_i;
207input                   m3_cab_i;
208output                  m3_ack_o;
209output                  m3_err_o;
210output                  m3_rty_o;
211
212// Master 4 Interface
213input   [`dw-1:0]       m4_dat_i;
214output  [`dw-1:0]       m4_dat_o;
215input   [`aw-1:0]       m4_adr_i;
216input   [`sw-1:0]       m4_sel_i;
217input                   m4_we_i;
218input                   m4_cyc_i;
219input                   m4_stb_i;
220input                   m4_cab_i;
221output                  m4_ack_o;
222output                  m4_err_o;
223output                  m4_rty_o;
224
225// Master 5 Interface
226input   [`dw-1:0]       m5_dat_i;
227output  [`dw-1:0]       m5_dat_o;
228input   [`aw-1:0]       m5_adr_i;
229input   [`sw-1:0]       m5_sel_i;
230input                   m5_we_i;
231input                   m5_cyc_i;
232input                   m5_stb_i;
233input                   m5_cab_i;
234output                  m5_ack_o;
235output                  m5_err_o;
236output                  m5_rty_o;
237
238// Master 6 Interface
239input   [`dw-1:0]       m6_dat_i;
240output  [`dw-1:0]       m6_dat_o;
241input   [`aw-1:0]       m6_adr_i;
242input   [`sw-1:0]       m6_sel_i;
243input                   m6_we_i;
244input                   m6_cyc_i;
245input                   m6_stb_i;
246input                   m6_cab_i;
247output                  m6_ack_o;
248output                  m6_err_o;
249output                  m6_rty_o;
250
251// Master 7 Interface
252input   [`dw-1:0]       m7_dat_i;
253output  [`dw-1:0]       m7_dat_o;
254input   [`aw-1:0]       m7_adr_i;
255input   [`sw-1:0]       m7_sel_i;
256input                   m7_we_i;
257input                   m7_cyc_i;
258input                   m7_stb_i;
259input                   m7_cab_i;
260output                  m7_ack_o;
261output                  m7_err_o;
262output                  m7_rty_o;
263
264// Slave 0 Interface
265input   [`dw-1:0]       s0_dat_i;
266output  [`dw-1:0]       s0_dat_o;
267output  [`aw-1:0]       s0_adr_o;
268output  [`sw-1:0]       s0_sel_o;
269output                  s0_we_o;
270output                  s0_cyc_o;
271output                  s0_stb_o;
272output                  s0_cab_o;
273input                   s0_ack_i;
274input                   s0_err_i;
275input                   s0_rty_i;
276
277// Slave 1 Interface
278input   [`dw-1:0]       s1_dat_i;
279output  [`dw-1:0]       s1_dat_o;
280output  [`aw-1:0]       s1_adr_o;
281output  [`sw-1:0]       s1_sel_o;
282output                  s1_we_o;
283output                  s1_cyc_o;
284output                  s1_stb_o;
285output                  s1_cab_o;
286input                   s1_ack_i;
287input                   s1_err_i;
288input                   s1_rty_i;
289
290// Slave 2 Interface
291input   [`dw-1:0]       s2_dat_i;
292output  [`dw-1:0]       s2_dat_o;
293output  [`aw-1:0]       s2_adr_o;
294output  [`sw-1:0]       s2_sel_o;
295output                  s2_we_o;
296output                  s2_cyc_o;
297output                  s2_stb_o;
298output                  s2_cab_o;
299input                   s2_ack_i;
300input                   s2_err_i;
301input                   s2_rty_i;
302
303// Slave 3 Interface
304input   [`dw-1:0]       s3_dat_i;
305output  [`dw-1:0]       s3_dat_o;
306output  [`aw-1:0]       s3_adr_o;
307output  [`sw-1:0]       s3_sel_o;
308output                  s3_we_o;
309output                  s3_cyc_o;
310output                  s3_stb_o;
311output                  s3_cab_o;
312input                   s3_ack_i;
313input                   s3_err_i;
314input                   s3_rty_i;
315
316// Slave 4 Interface
317input   [`dw-1:0]       s4_dat_i;
318output  [`dw-1:0]       s4_dat_o;
319output  [`aw-1:0]       s4_adr_o;
320output  [`sw-1:0]       s4_sel_o;
321output                  s4_we_o;
322output                  s4_cyc_o;
323output                  s4_stb_o;
324output                  s4_cab_o;
325input                   s4_ack_i;
326input                   s4_err_i;
327input                   s4_rty_i;
328
329// Slave 5 Interface
330input   [`dw-1:0]       s5_dat_i;
331output  [`dw-1:0]       s5_dat_o;
332output  [`aw-1:0]       s5_adr_o;
333output  [`sw-1:0]       s5_sel_o;
334output                  s5_we_o;
335output                  s5_cyc_o;
336output                  s5_stb_o;
337output                  s5_cab_o;
338input                   s5_ack_i;
339input                   s5_err_i;
340input                   s5_rty_i;
341
342// Slave 6 Interface
343input   [`dw-1:0]       s6_dat_i;
344output  [`dw-1:0]       s6_dat_o;
345output  [`aw-1:0]       s6_adr_o;
346output  [`sw-1:0]       s6_sel_o;
347output                  s6_we_o;
348output                  s6_cyc_o;
349output                  s6_stb_o;
350output                  s6_cab_o;
351input                   s6_ack_i;
352input                   s6_err_i;
353input                   s6_rty_i;
354
355// Slave 7 Interface
356input   [`dw-1:0]       s7_dat_i;
357output  [`dw-1:0]       s7_dat_o;
358output  [`aw-1:0]       s7_adr_o;
359output  [`sw-1:0]       s7_sel_o;
360output                  s7_we_o;
361output                  s7_cyc_o;
362output                  s7_stb_o;
363output                  s7_cab_o;
364input                   s7_ack_i;
365input                   s7_err_i;
366input                   s7_rty_i;
367
368
369////////////////////////////////////////////////////////////////////
370//
371// Local wires
372//
373
374wire    [`mselectw -1:0]        i_gnt_arb;
375wire    [2:0]   gnt;
376reg     [`sselectw -1:0]        i_ssel_dec;
377`ifdef  WB_USE_TRISTATE
378wire    [`mbusw -1:0]   i_bus_m;
379`else
380reg             [`mbusw -1:0]   i_bus_m;                // internal share bus, master data and control to slave
381`endif
382wire            [`dw -1:0]              i_dat_s;        // internal share bus , slave data to master
383wire    [`sbusw -1:0]   i_bus_s;                        // internal share bus , slave control to master
384
385
386
387////////////////////////////////////////////////////////////////////
388//
389// Master output Interfaces
390//
391
392// master0
393assign  m0_dat_o = i_dat_s;
394assign  {m0_ack_o, m0_err_o, m0_rty_o} = i_bus_s & {3{i_gnt_arb[0]}};
395
396// master1
397assign  m1_dat_o = i_dat_s;
398assign  {m1_ack_o, m1_err_o, m1_rty_o} = i_bus_s & {3{i_gnt_arb[1]}};
399
400// master2
401
402assign  m2_dat_o = i_dat_s;
403assign  {m2_ack_o, m2_err_o, m2_rty_o} = i_bus_s & {3{i_gnt_arb[2]}};
404
405// master3
406
407assign  m3_dat_o = i_dat_s;
408assign  {m3_ack_o, m3_err_o, m3_rty_o} = i_bus_s & {3{i_gnt_arb[3]}};
409
410// master4
411
412assign  m4_dat_o = i_dat_s;
413assign  {m4_ack_o, m4_err_o, m4_rty_o} = i_bus_s & {3{i_gnt_arb[4]}};
414
415// master5
416
417assign  m5_dat_o = i_dat_s;
418assign  {m5_ack_o, m5_err_o, m5_rty_o} = i_bus_s & {3{i_gnt_arb[5]}};
419
420// master6
421
422assign  m6_dat_o = i_dat_s;
423assign  {m6_ack_o, m6_err_o, m6_rty_o} = i_bus_s & {3{i_gnt_arb[6]}};
424
425// master7
426
427assign  m7_dat_o = i_dat_s;
428assign  {m7_ack_o, m7_err_o, m7_rty_o} = i_bus_s & {3{i_gnt_arb[7]}};
429
430
431assign  i_bus_s = {s0_ack_i | s1_ack_i | s2_ack_i | s3_ack_i | s4_ack_i | s5_ack_i | s6_ack_i | s7_ack_i ,
432                                   s0_err_i | s1_err_i | s2_err_i | s3_err_i | s4_err_i | s5_err_i | s6_err_i | s7_err_i ,
433                                   s0_rty_i | s1_rty_i | s2_rty_i | s3_rty_i | s4_rty_i | s5_rty_i | s6_rty_i | s7_rty_i };
434
435////////////////////////////////
436//      Slave output interface
437//
438// slave0
439assign  {s0_adr_o, s0_sel_o, s0_dat_o, s0_we_o, s0_cab_o,s0_cyc_o} = i_bus_m[`mbusw -1:1];
440assign  s0_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[0];  // stb_o = cyc_i & stb_i & i_ssel_dec
441
442// slave1
443
444assign  {s1_adr_o, s1_sel_o, s1_dat_o, s1_we_o, s1_cab_o, s1_cyc_o} = i_bus_m[`mbusw -1:1];
445assign  s1_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[1];
446
447// slave2
448
449assign  {s2_adr_o, s2_sel_o, s2_dat_o, s2_we_o, s2_cab_o, s2_cyc_o} = i_bus_m[`mbusw -1:1];
450assign  s2_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[2];
451
452// slave3
453
454assign  {s3_adr_o, s3_sel_o, s3_dat_o, s3_we_o, s3_cab_o, s3_cyc_o} = i_bus_m[`mbusw -1:1];
455assign  s3_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[3];
456
457// slave4
458
459assign  {s4_adr_o, s4_sel_o, s4_dat_o, s4_we_o, s4_cab_o, s4_cyc_o} = i_bus_m[`mbusw -1:1];
460assign  s4_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[4];
461
462// slave5
463
464assign  {s5_adr_o, s5_sel_o, s5_dat_o, s5_we_o, s5_cab_o, s5_cyc_o} = i_bus_m[`mbusw -1:1];
465assign  s5_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[5];
466
467// slave6
468
469assign  {s6_adr_o, s6_sel_o, s6_dat_o, s6_we_o, s6_cab_o, s6_cyc_o} = i_bus_m[`mbusw -1:1];
470assign  s6_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[6];
471
472// slave7
473
474assign  {s7_adr_o, s7_sel_o, s7_dat_o, s7_we_o, s7_cab_o, s7_cyc_o} = i_bus_m[`mbusw -1:1];
475assign  s7_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[7];
476
477///////////////////////////////////////
478//      Master and Slave input interface
479//
480
481`ifdef  WB_USE_TRISTATE
482// input from master interface
483assign  i_bus_m = i_gnt_arb[0] ? {m0_adr_i, m0_sel_i, m0_dat_i, m0_we_i, m0_cab_i, m0_cyc_i, m0_stb_i} : 72'bz ;
484assign  i_bus_m = i_gnt_arb[1] ? {m1_adr_i, m1_sel_i, m1_dat_i, m1_we_i, m1_cab_i,m1_cyc_i, m1_stb_i} : 72'bz ;
485assign  i_bus_m = i_gnt_arb[2] ? {m2_adr_i, m2_sel_i, m2_dat_i,  m2_we_i, m2_cab_i, m2_cyc_i, m2_stb_i} : 72'bz ;
486assign  i_bus_m = i_gnt_arb[3] ? {m3_adr_i, m3_sel_i, m3_dat_i,  m3_we_i, m3_cab_i, m3_cyc_i, m3_stb_i} : 72'bz ;
487assign  i_bus_m = i_gnt_arb[4] ? {m4_adr_i, m4_sel_i, m4_dat_i,  m4_we_i, m4_cab_i, m4_cyc_i, m4_stb_i} : 72'bz ;
488assign  i_bus_m = i_gnt_arb[5] ? {m5_adr_i, m5_sel_i, m5_dat_i, m5_we_i, m5_cab_i, m5_cyc_i,  m5_stb_i} : 72'bz ;
489assign  i_bus_m = i_gnt_arb[6] ? {m6_adr_i, m6_sel_i, m6_dat_i, m6_we_i, m6_cab_i, m6_cyc_i, m6_stb_i} : 72'bz ;
490assign  i_bus_m = i_gnt_arb[7] ? {m7_adr_i, m7_sel_i, m7_dat_i, m7_we_i, m7_cab_i, m7_cyc_i,m7_stb_i} : 72'bz ;
491// input from slave interface
492assign  i_dat_s = i_ssel_dec[0] ? s0_dat_i: 32'bz;
493assign  i_dat_s = i_ssel_dec[1] ? s1_dat_i: 32'bz;
494assign  i_dat_s = i_ssel_dec[2] ? s2_dat_i: 32'bz;
495assign  i_dat_s = i_ssel_dec[3] ? s3_dat_i: 32'bz;
496assign  i_dat_s = i_ssel_dec[4] ? s4_dat_i: 32'bz;
497assign  i_dat_s = i_ssel_dec[5] ? s5_dat_i: 32'bz;
498assign  i_dat_s = i_ssel_dec[6] ? s6_dat_i: 32'bz;
499assign  i_dat_s = i_ssel_dec[7] ? s7_dat_i: 32'bz;
500
501`else
502
503always @(gnt , m0_adr_i, m0_sel_i, m0_dat_i, m0_we_i, m0_cab_i, m0_cyc_i,m0_stb_i,
504                m1_adr_i, m1_sel_i, m1_dat_i, m1_we_i, m1_cab_i, m1_cyc_i,m1_stb_i,
505                m2_adr_i, m2_sel_i, m2_dat_i, m2_we_i, m2_cab_i, m2_cyc_i,m2_stb_i,
506                m3_adr_i, m3_sel_i, m3_dat_i, m3_we_i, m3_cab_i, m3_cyc_i,m3_stb_i,
507                m4_adr_i, m4_sel_i, m4_dat_i, m4_we_i, m4_cab_i, m4_cyc_i,m4_stb_i,
508                m5_adr_i, m5_sel_i, m5_dat_i, m5_we_i, m5_cab_i, m5_cyc_i,m5_stb_i,
509                m6_adr_i, m6_sel_i, m6_dat_i, m6_we_i, m6_cab_i, m6_cyc_i,m6_stb_i,
510                m7_adr_i, m7_sel_i, m7_dat_i, m7_we_i, m7_cab_i, m7_cyc_i,m7_stb_i)
511                case(gnt)
512                        3'h0:   i_bus_m = {m0_adr_i, m0_sel_i, m0_dat_i, m0_we_i, m0_cab_i, m0_cyc_i,m0_stb_i};
513                        3'h1:   i_bus_m = {m1_adr_i, m1_sel_i, m1_dat_i, m1_we_i, m1_cab_i, m1_cyc_i,m1_stb_i};
514                        3'h2:   i_bus_m = {m2_adr_i, m2_sel_i, m2_dat_i, m2_we_i, m2_cab_i, m2_cyc_i,m2_stb_i};
515                        3'h3:   i_bus_m = {m3_adr_i, m3_sel_i, m3_dat_i, m3_we_i, m3_cab_i, m3_cyc_i,m3_stb_i};
516                        3'h4:   i_bus_m = {m4_adr_i, m4_sel_i, m4_dat_i, m4_we_i, m4_cab_i, m4_cyc_i,m4_stb_i};
517                        3'h5:   i_bus_m = {m5_adr_i, m5_sel_i, m5_dat_i, m5_we_i, m5_cab_i, m5_cyc_i,m5_stb_i};
518                        3'h6:   i_bus_m = {m6_adr_i, m6_sel_i, m6_dat_i, m6_we_i, m6_cab_i, m6_cyc_i,m6_stb_i};
519                        3'h7:   i_bus_m = {m7_adr_i, m7_sel_i, m7_dat_i, m7_we_i, m7_cab_i, m7_cyc_i,m7_stb_i};
520                        default:i_bus_m =  72'b0;//{m0_adr_i, m0_sel_i, m0_dat_i, m0_we_i, m0_cab_i, m0_cyc_i,m0_stb_i};
521endcase                 
522
523assign  i_dat_s = i_ssel_dec[0] ? s0_dat_i :
524                                  i_ssel_dec[1] ? s1_dat_i :
525                                  i_ssel_dec[2] ? s2_dat_i :
526                                  i_ssel_dec[3] ? s3_dat_i :
527                                  i_ssel_dec[4] ? s4_dat_i :
528                                  i_ssel_dec[5] ? s5_dat_i :
529                                  i_ssel_dec[6] ? s6_dat_i :
530                                  i_ssel_dec[7] ? s7_dat_i : {`dw{1'b0}}; 
531`endif
532//
533// arbitor
534//
535assign i_gnt_arb[0] = (gnt == 3'd0);
536assign i_gnt_arb[1] = (gnt == 3'd1);
537assign i_gnt_arb[2] = (gnt == 3'd2);
538assign i_gnt_arb[3] = (gnt == 3'd3);
539assign i_gnt_arb[4] = (gnt == 3'd4);
540assign i_gnt_arb[5] = (gnt == 3'd5);
541assign i_gnt_arb[6] = (gnt == 3'd6);
542assign i_gnt_arb[7] = (gnt == 3'd7);
543
544wb_conbus_arb   wb_conbus_arb(
545        .clk(clk_i), 
546        .rst(rst_i),
547        .req({  m7_cyc_i,
548                m6_cyc_i,
549                m5_cyc_i,
550                m4_cyc_i,
551                m3_cyc_i,
552                m2_cyc_i,
553                m1_cyc_i,
554                m0_cyc_i}),
555        .gnt(gnt)
556);
557
558//////////////////////////////////
559//              address decode logic
560//
561wire [7:0]      m0_ssel_dec, m1_ssel_dec, m2_ssel_dec, m3_ssel_dec, m4_ssel_dec, m5_ssel_dec, m6_ssel_dec, m7_ssel_dec;
562always @(gnt, m0_ssel_dec, m1_ssel_dec, m2_ssel_dec, m3_ssel_dec, m4_ssel_dec, m5_ssel_dec, m6_ssel_dec, m7_ssel_dec)
563        case(gnt)
564                3'h0: i_ssel_dec = m0_ssel_dec;
565                3'h1: i_ssel_dec = m1_ssel_dec;
566                3'h2: i_ssel_dec = m2_ssel_dec;
567                3'h3: i_ssel_dec = m3_ssel_dec;
568                3'h4: i_ssel_dec = m4_ssel_dec;
569                3'h5: i_ssel_dec = m5_ssel_dec;
570                3'h6: i_ssel_dec = m6_ssel_dec;
571                3'h7: i_ssel_dec = m7_ssel_dec;
572                default: i_ssel_dec = 7'b0;
573endcase
574//
575//      decode all master address before arbitor for running faster
576//     
577assign m0_ssel_dec[0] = (m0_adr_i[`aw -1 : `aw - s0_addr_w ] == s0_addr);
578assign m0_ssel_dec[1] = (m0_adr_i[`aw -1 : `aw - s1_addr_w ] == s1_addr);
579assign m0_ssel_dec[2] = (m0_adr_i[`aw -1 : `aw - s2_addr_w ] == s2_addr);
580assign m0_ssel_dec[3] = (m0_adr_i[`aw -1 : `aw - s3_addr_w ] == s3_addr);
581assign m0_ssel_dec[4] = (m0_adr_i[`aw -1 : `aw - s4_addr_w ] == s4_addr);
582assign m0_ssel_dec[5] = (m0_adr_i[`aw -1 : `aw - s5_addr_w ] == s5_addr);
583assign m0_ssel_dec[6] = (m0_adr_i[`aw -1 : `aw - s6_addr_w ] == s6_addr);
584assign m0_ssel_dec[7] = (m0_adr_i[`aw -1 : `aw - s7_addr_w ] == s7_addr);
585
586assign m1_ssel_dec[0] = (m1_adr_i[`aw -1 : `aw - s0_addr_w ] == s0_addr);
587assign m1_ssel_dec[1] = (m1_adr_i[`aw -1 : `aw - s1_addr_w ] == s1_addr);
588assign m1_ssel_dec[2] = (m1_adr_i[`aw -1 : `aw - s2_addr_w ] == s2_addr);
589assign m1_ssel_dec[3] = (m1_adr_i[`aw -1 : `aw - s3_addr_w ] == s3_addr);
590assign m1_ssel_dec[4] = (m1_adr_i[`aw -1 : `aw - s4_addr_w ] == s4_addr);
591assign m1_ssel_dec[5] = (m1_adr_i[`aw -1 : `aw - s5_addr_w ] == s5_addr);
592assign m1_ssel_dec[6] = (m1_adr_i[`aw -1 : `aw - s6_addr_w ] == s6_addr);
593assign m1_ssel_dec[7] = (m1_adr_i[`aw -1 : `aw - s7_addr_w ] == s7_addr);
594
595assign m2_ssel_dec[0] = (m2_adr_i[`aw -1 : `aw - s0_addr_w ] == s0_addr);
596assign m2_ssel_dec[1] = (m2_adr_i[`aw -1 : `aw - s1_addr_w ] == s1_addr);
597assign m2_ssel_dec[2] = (m2_adr_i[`aw -1 : `aw - s2_addr_w ] == s2_addr);
598assign m2_ssel_dec[3] = (m2_adr_i[`aw -1 : `aw - s3_addr_w ] == s3_addr);
599assign m2_ssel_dec[4] = (m2_adr_i[`aw -1 : `aw - s4_addr_w ] == s4_addr);
600assign m2_ssel_dec[5] = (m2_adr_i[`aw -1 : `aw - s5_addr_w ] == s5_addr);
601assign m2_ssel_dec[6] = (m2_adr_i[`aw -1 : `aw - s6_addr_w ] == s6_addr);
602assign m2_ssel_dec[7] = (m2_adr_i[`aw -1 : `aw - s7_addr_w ] == s7_addr);
603
604assign m3_ssel_dec[0] = (m3_adr_i[`aw -1 : `aw - s0_addr_w ] == s0_addr);
605assign m3_ssel_dec[1] = (m3_adr_i[`aw -1 : `aw - s1_addr_w ] == s1_addr);
606assign m3_ssel_dec[2] = (m3_adr_i[`aw -1 : `aw - s2_addr_w ] == s2_addr);
607assign m3_ssel_dec[3] = (m3_adr_i[`aw -1 : `aw - s3_addr_w ] == s3_addr);
608assign m3_ssel_dec[4] = (m3_adr_i[`aw -1 : `aw - s4_addr_w ] == s4_addr);
609assign m3_ssel_dec[5] = (m3_adr_i[`aw -1 : `aw - s5_addr_w ] == s5_addr);
610assign m3_ssel_dec[6] = (m3_adr_i[`aw -1 : `aw - s6_addr_w ] == s6_addr);
611assign m3_ssel_dec[7] = (m3_adr_i[`aw -1 : `aw - s7_addr_w ] == s7_addr);
612
613assign m4_ssel_dec[0] = (m4_adr_i[`aw -1 : `aw - s0_addr_w ] == s0_addr);
614assign m4_ssel_dec[1] = (m4_adr_i[`aw -1 : `aw - s1_addr_w ] == s1_addr);
615assign m4_ssel_dec[2] = (m4_adr_i[`aw -1 : `aw - s2_addr_w ] == s2_addr);
616assign m4_ssel_dec[3] = (m4_adr_i[`aw -1 : `aw - s3_addr_w ] == s3_addr);
617assign m4_ssel_dec[4] = (m4_adr_i[`aw -1 : `aw - s4_addr_w ] == s4_addr);
618assign m4_ssel_dec[5] = (m4_adr_i[`aw -1 : `aw - s5_addr_w ] == s5_addr);
619assign m4_ssel_dec[6] = (m4_adr_i[`aw -1 : `aw - s6_addr_w ] == s6_addr);
620assign m4_ssel_dec[7] = (m4_adr_i[`aw -1 : `aw - s7_addr_w ] == s7_addr);
621
622assign m5_ssel_dec[0] = (m5_adr_i[`aw -1 : `aw - s0_addr_w ] == s0_addr);
623assign m5_ssel_dec[1] = (m5_adr_i[`aw -1 : `aw - s1_addr_w ] == s1_addr);
624assign m5_ssel_dec[2] = (m5_adr_i[`aw -1 : `aw - s2_addr_w ] == s2_addr);
625assign m5_ssel_dec[3] = (m5_adr_i[`aw -1 : `aw - s3_addr_w ] == s3_addr);
626assign m5_ssel_dec[4] = (m5_adr_i[`aw -1 : `aw - s4_addr_w ] == s4_addr);
627assign m5_ssel_dec[5] = (m5_adr_i[`aw -1 : `aw - s5_addr_w ] == s5_addr);
628assign m5_ssel_dec[6] = (m5_adr_i[`aw -1 : `aw - s6_addr_w ] == s6_addr);
629assign m5_ssel_dec[7] = (m5_adr_i[`aw -1 : `aw - s7_addr_w ] == s7_addr);
630
631assign m6_ssel_dec[0] = (m6_adr_i[`aw -1 : `aw - s0_addr_w ] == s0_addr);
632assign m6_ssel_dec[1] = (m6_adr_i[`aw -1 : `aw - s1_addr_w ] == s1_addr);
633assign m6_ssel_dec[2] = (m6_adr_i[`aw -1 : `aw - s2_addr_w ] == s2_addr);
634assign m6_ssel_dec[3] = (m6_adr_i[`aw -1 : `aw - s3_addr_w ] == s3_addr);
635assign m6_ssel_dec[4] = (m6_adr_i[`aw -1 : `aw - s4_addr_w ] == s4_addr);
636assign m6_ssel_dec[5] = (m6_adr_i[`aw -1 : `aw - s5_addr_w ] == s5_addr);
637assign m6_ssel_dec[6] = (m6_adr_i[`aw -1 : `aw - s6_addr_w ] == s6_addr);
638assign m6_ssel_dec[7] = (m6_adr_i[`aw -1 : `aw - s7_addr_w ] == s7_addr);
639
640assign m7_ssel_dec[0] = (m7_adr_i[`aw -1 : `aw - s0_addr_w ] == s0_addr);
641assign m7_ssel_dec[1] = (m7_adr_i[`aw -1 : `aw - s1_addr_w ] == s1_addr);
642assign m7_ssel_dec[2] = (m7_adr_i[`aw -1 : `aw - s2_addr_w ] == s2_addr);
643assign m7_ssel_dec[3] = (m7_adr_i[`aw -1 : `aw - s3_addr_w ] == s3_addr);
644assign m7_ssel_dec[4] = (m7_adr_i[`aw -1 : `aw - s4_addr_w ] == s4_addr);
645assign m7_ssel_dec[5] = (m7_adr_i[`aw -1 : `aw - s5_addr_w ] == s5_addr);
646assign m7_ssel_dec[6] = (m7_adr_i[`aw -1 : `aw - s6_addr_w ] == s6_addr);
647assign m7_ssel_dec[7] = (m7_adr_i[`aw -1 : `aw - s7_addr_w ] == s7_addr);
648
649//assign i_ssel_dec[0] = (i_bus_m[`mbusw -1 : `mbusw - s0_addr_w ] == s0_addr);
650//assign i_ssel_dec[1] = (i_bus_m[`mbusw -1 : `mbusw - s1_addr_w ] == s1_addr);
651//assign i_ssel_dec[2] = (i_bus_m[`mbusw -1 : `mbusw - s27_addr_w ] == s2_addr);
652//assign i_ssel_dec[3] = (i_bus_m[`mbusw -1 : `mbusw - s27_addr_w ] == s3_addr);
653//assign i_ssel_dec[4] = (i_bus_m[`mbusw -1 : `mbusw - s27_addr_w ] == s4_addr);
654//assign i_ssel_dec[5] = (i_bus_m[`mbusw -1 : `mbusw - s27_addr_w ] == s5_addr);
655//assign i_ssel_dec[6] = (i_bus_m[`mbusw -1 : `mbusw - s27_addr_w ] == s6_addr);
656//assign i_ssel_dec[7] = (i_bus_m[`mbusw -1 : `mbusw - s27_addr_w ] == s7_addr);
657
658
659endmodule
660
Note: See TracBrowser for help on using the repository browser.