source: XOpenSparcT1/trunk/sim/simula.do @ 22

Revision 22, 3.3 KB checked in by pntsvt00, 13 years ago (diff)

checkpoint: la DDR effettua l'init

Line 
1#start with: vsim -c -do simula.do
2
3vlib work
4
5#Map the required libraries here.#
6
7#Compile all modules#
8
9vlog +incdir+../T1-common/include/ ../T1-common/common/*.v
10vlog +incdir+../T1-common/include/ ../Top/*.v
11vlog +incdir+../OC-UART +incdir+../T1-common/include/ ../OC-UART/*.v
12vlog +incdir+../T1-common/include/ ../NOR-flash/*.v
13vlog +incdir+../T1-common/include/ ../os2wb/*.v
14vlog +incdir+../T1-common/include/ ../T1-common/m1/*.V
15vlog +define+FPGA_SYN +incdir+../T1-common/include/ ../T1-common/srams/*.v
16vlog +incdir+../T1-common/include/ ../T1-common/u1/*.V
17vlog +incdir+../T1-common/include/ ../T1-FPU/*.v
18vlog +incdir+../T1-common/include/ +incdir+../WB ../WB/*.v
19vlog +incdir+../T1-common/include/ ../WB2ALTDDR3/*.v
20vlog +incdir+../T1-common/include/ ../Xilinx/*.v
21vlog +incdir+../T1-common/include/ ../T1-CPU/exu/*.v
22vlog +incdir+../T1-common/include/ ../T1-CPU/ffu/*.v
23vlog +incdir+../T1-common/include/ ../T1-CPU/ifu/*.v
24vlog +incdir+../T1-common/include/ ../T1-CPU/lsu/*.v
25vlog +incdir+../T1-common/include/ ../T1-CPU/mul/*.v
26vlog +incdir+../T1-common/include/ ../T1-CPU/rtl/*.v
27vlog +incdir+../T1-common/include/ ../T1-CPU/spu/*.v
28vlog +incdir+../T1-common/include/ ../T1-CPU/tlu/*.v
29
30#Compile files in sim folder (excluding model parameter file)#
31#$XILINX variable must be set
32vlog  $env(XILINX)/../../verilog/src/glbl.v
33#vlog  $XILINX/../../verilog/src/glbl.v
34vlog  +define+DEBUG ../sim/*.v
35
36#Pass the parameters for memory model parameter file#
37vlog  +incdir+. +define+x512Mb +define+sg37E +define+x16 ddr2_model.v
38
39#Load the design. Use required libraries.#
40
41
42vsim -t ps -novopt +notimingchecks -L unisims_ver -L XilinxCoreLib work.tb_top glbl
43
44#vsim -c -t ps -novopt +notimingchecks -L unisims_ver -L XilinxCoreLib work.tb_top glbl
45#vsim -c -t ps -novopt +notimingchecks work.tb_top glbl
46
47add wave sim:/tb_top/W1_inst/dram_wb_inst/*
48#exit
49pause
50onerror {resume}
51#Log all the objects in design. These will appear in .wlf file#
52log -r /*
53#View sim_tb_top signals in waveform#
54add wave sim:/tb_top/*
55
56#Change radix to Hexadecimal#
57radix hex
58#Supress Numeric Std package and Arith package warnings.#
59#For VHDL designs we get some warnings due to unknown values on some signals at startup#
60# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0#
61#We may also get some Arithmetic packeage warnings because of unknown values on#
62#some of the signals that are used in an Arithmetic operation.#
63#In order to suppress these warnings, we use following two commands#
64set NumericStdNoWarnings 1
65set StdArithNoWarnings 1
66
67#Choose simulation run time by inserting a breakpoint and then run for specified #
68#period. For more details, refer to Simulation Guide section of MIG user guide (UG086).#
69when {/sim_tb_top/phy_init_done = 1} {
70if {[when -label a_100] == ""} {
71when -label a_100 { $now = 50 us } {
72nowhen a_100
73report simulator control
74report simulator state
75if {[examine /sim_tb_top/error] == 0} {
76echo "TEST PASSED"
77stop
78}
79if {[examine /sim_tb_top/error] != 0} {
80echo "TEST FAILED: DATA ERROR"
81stop
82}
83}
84}
85}
86
87#In case calibration fails to complete, choose the run time and then stop#
88when {$now = @500 us and /sim_tb_top/phy_init_done != 1} {
89echo "TEST FAILED: CALIBRATION DID NOT COMPLETE"
90stop
91}
92
93echo "NOTE: Initial 200us power on period is skipped for simulation.
94      Change SIM_ONLY parameter in sim_tb_top file to activate this."
95
96run -all
97stop
98
Note: See TracBrowser for help on using the repository browser.