source: XOpenSparcT1/trunk/Xilinx/ddr2_usr_top.v @ 10

Revision 10, 6.7 KB checked in by pntsvt00, 13 years ago (diff)

versione sintetizzabile

Line 
1//*****************************************************************************
2// DISCLAIMER OF LIABILITY
3//
4// This file contains proprietary and confidential information of
5// Xilinx, Inc. ("Xilinx"), that is distributed under a license
6// from Xilinx, and may be used, copied and/or disclosed only
7// pursuant to the terms of a valid license agreement with Xilinx.
8//
9// XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10// ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11// EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12// LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13// MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14// does not warrant that functions included in the Materials will
15// meet the requirements of Licensee, or that the operation of the
16// Materials will be uninterrupted or error-free, or that defects
17// in the Materials will be corrected. Furthermore, Xilinx does
18// not warrant or make any representations regarding use, or the
19// results of the use, of the Materials in terms of correctness,
20// accuracy, reliability or otherwise.
21//
22// Xilinx products are not designed or intended to be fail-safe,
23// or for use in any application requiring fail-safe performance,
24// such as life-support or safety devices or systems, Class III
25// medical devices, nuclear facilities, applications related to
26// the deployment of airbags, or any other applications that could
27// lead to death, personal injury or severe property or
28// environmental damage (individually and collectively, "critical
29// applications"). Customer assumes the sole risk and liability
30// of any use of Xilinx products in critical applications,
31// subject only to applicable laws and regulations governing
32// limitations on product liability.
33//
34// Copyright 2006, 2007 Xilinx, Inc.
35// All rights reserved.
36//
37// This disclaimer and copyright notice must be retained as part
38// of this file at all times.
39//*****************************************************************************
40//   ____  ____
41//  /   /\/   /
42// /___/  \  /    Vendor: Xilinx
43// \   \   \/     Version: 3.6
44//  \   \         Application: MIG
45//  /   /         Filename: ddr2_usr_top.v
46// /___/   /\     Date Last Modified: $Date: 2010/06/29 12:03:43 $
47// \   \  /  \    Date Created: Mon Aug 28 2006
48//  \___\/\___\
49//
50//Device: Virtex-5
51//Design Name: DDR2
52//Purpose:
53//   This module interfaces with the user. The user should provide the data
54//   and  various commands.
55//Reference:
56//Revision History:
57//*****************************************************************************
58
59`timescale 1ns/1ps
60
61module ddr2_usr_top #
62  (
63   // Following parameters are for 72-bit RDIMM design (for ML561 Reference
64   // board design). Actual values may be different. Actual parameters values
65   // are passed from design top module dram module. Please refer to
66   // the dram module for actual values.
67   parameter BANK_WIDTH     = 2,
68   parameter CS_BITS        = 0,
69   parameter COL_WIDTH      = 10,
70   parameter DQ_WIDTH       = 72,
71   parameter DQ_PER_DQS     = 8,
72   parameter APPDATA_WIDTH  = 144,
73   parameter ECC_ENABLE     = 0,
74   parameter DQS_WIDTH      = 9,
75   parameter ROW_WIDTH      = 14
76   )
77  (
78   input                                     clk0,
79   input                                     clk90,
80   input                                     rst0,
81   input [DQ_WIDTH-1:0]                      rd_data_in_rise,
82   input [DQ_WIDTH-1:0]                      rd_data_in_fall,
83   input [DQS_WIDTH-1:0]                     phy_calib_rden,
84   input [DQS_WIDTH-1:0]                     phy_calib_rden_sel,
85   output                                    rd_data_valid,
86   output [APPDATA_WIDTH-1:0]                rd_data_fifo_out,
87   input [2:0]                               app_af_cmd,
88   input [30:0]                              app_af_addr,
89   input                                     app_af_wren,
90   input                                     ctrl_af_rden,
91   output [2:0]                              af_cmd,
92   output [30:0]                             af_addr,
93   output                                    af_empty,
94   output                                    app_af_afull,
95   output [1:0]                              rd_ecc_error,
96   input                                     app_wdf_wren,
97   input [APPDATA_WIDTH-1:0]                 app_wdf_data,
98   input [(APPDATA_WIDTH/8)-1:0]             app_wdf_mask_data,
99   input                                     wdf_rden,
100   output                                    app_wdf_afull,
101   output [(2*DQ_WIDTH)-1:0]                 wdf_data,
102   output [((2*DQ_WIDTH)/8)-1:0]             wdf_mask_data
103   );
104
105  wire [(APPDATA_WIDTH/2)-1:0] i_rd_data_fifo_out_fall;
106  wire [(APPDATA_WIDTH/2)-1:0] i_rd_data_fifo_out_rise;
107
108  //***************************************************************************
109
110  assign rd_data_fifo_out = {i_rd_data_fifo_out_fall,
111                             i_rd_data_fifo_out_rise};
112
113  // read data de-skew and ECC calculation
114  ddr2_usr_rd #
115    (
116     .DQ_PER_DQS    (DQ_PER_DQS),
117     .ECC_ENABLE    (ECC_ENABLE),
118     .APPDATA_WIDTH (APPDATA_WIDTH),
119     .DQS_WIDTH     (DQS_WIDTH)
120     )
121     u_usr_rd
122      (
123       .clk0             (clk0),
124       .rst0             (rst0),
125       .rd_data_in_rise  (rd_data_in_rise),
126       .rd_data_in_fall  (rd_data_in_fall),
127       .rd_ecc_error     (rd_ecc_error),
128       .ctrl_rden        (phy_calib_rden),
129       .ctrl_rden_sel    (phy_calib_rden_sel),
130       .rd_data_valid    (rd_data_valid),
131       .rd_data_out_rise (i_rd_data_fifo_out_rise),
132       .rd_data_out_fall (i_rd_data_fifo_out_fall)
133       );
134
135  // Command/Addres FIFO
136  ddr2_usr_addr_fifo #
137    (
138     .BANK_WIDTH (BANK_WIDTH),
139     .COL_WIDTH  (COL_WIDTH),
140     .CS_BITS    (CS_BITS),
141     .ROW_WIDTH  (ROW_WIDTH)
142     )
143     u_usr_addr_fifo
144      (
145       .clk0         (clk0),
146       .rst0         (rst0),
147       .app_af_cmd   (app_af_cmd),
148       .app_af_addr  (app_af_addr),
149       .app_af_wren  (app_af_wren),
150       .ctrl_af_rden (ctrl_af_rden),
151       .af_cmd       (af_cmd),
152       .af_addr      (af_addr),
153       .af_empty     (af_empty),
154       .app_af_afull (app_af_afull)
155       );
156
157  ddr2_usr_wr #
158    (
159     .BANK_WIDTH    (BANK_WIDTH),
160     .COL_WIDTH     (COL_WIDTH),
161     .CS_BITS       (CS_BITS),
162     .DQ_WIDTH      (DQ_WIDTH),
163     .APPDATA_WIDTH (APPDATA_WIDTH),
164     .ECC_ENABLE    (ECC_ENABLE),
165     .ROW_WIDTH     (ROW_WIDTH)
166     )
167    u_usr_wr
168      (
169       .clk0              (clk0),
170       .clk90             (clk90),
171       .rst0              (rst0),
172       .app_wdf_wren      (app_wdf_wren),
173       .app_wdf_data      (app_wdf_data),
174       .app_wdf_mask_data (app_wdf_mask_data),
175       .wdf_rden          (wdf_rden),
176       .app_wdf_afull     (app_wdf_afull),
177       .wdf_data          (wdf_data),
178       .wdf_mask_data     (wdf_mask_data)
179       );
180
181endmodule
Note: See TracBrowser for help on using the repository browser.