Changeset 14 in XOpenSparcT1 for trunk/sim/simula.do


Ignore:
Timestamp:
03/24/11 14:47:26 (13 years ago)
Author:
pntsvt00
Message:

commit per simulazione di os2wb e Top

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/sim/simula.do

    r13 r14  
    1 #start with vsim -c -do simula.do 
     1#start with: vsim -c -do simula.do 
    22 
    33vlib work 
     
    3232vlog  $env(XILINX)/../../verilog/src/glbl.v 
    3333#vlog  $XILINX/../../verilog/src/glbl.v 
    34 #vlog  ../sim/*.v 
     34vlog  ../sim/*.v 
    3535 
    3636#Pass the parameters for memory model parameter file# 
Note: See TracChangeset for help on using the changeset viewer.