Changeset 17 in XOpenSparcT1 for trunk/sim/simula.do


Ignore:
Timestamp:
03/25/11 12:19:25 (13 years ago)
Author:
pntsvt00
Message:

la simulazione legge dalla flash

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/sim/simula.do

    r15 r17  
    3232vlog  $env(XILINX)/../../verilog/src/glbl.v 
    3333#vlog  $XILINX/../../verilog/src/glbl.v 
    34 vlog  ../sim/*.v 
     34vlog  +define+DEBUG ../sim/*.v 
    3535 
    3636#Pass the parameters for memory model parameter file# 
     
    3939#Load the design. Use required libraries.# 
    4040 
    41 vsim -c -t ps -novopt +notimingchecks -L unisims_ver -L XilinxCoreLib work.tb_top glbl 
     41 
     42vsim -t ps -novopt +notimingchecks -L unisims_ver -L XilinxCoreLib work.tb_top glbl 
     43 
     44#vsim -c -t ps -novopt +notimingchecks -L unisims_ver -L XilinxCoreLib work.tb_top glbl 
    4245#vsim -c -t ps -novopt +notimingchecks work.tb_top glbl 
    4346 
Note: See TracChangeset for help on using the changeset viewer.