Changeset 27 in XOpenSparcT1 for trunk/sim/simula.do


Ignore:
Timestamp:
04/05/11 09:58:40 (13 years ago)
Author:
pntsvt00
Message:

eliminato baco store consecutivi. esegue correttamente il codice

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/sim/simula.do

    r26 r27  
    22 
    33set DEFINE +define+DEBUG+FPGA_SYN 
     4#+FPGA_SYN_NO_SPU 
    45#+FPGA_NEW_IRF 
    56set INCLUDEDIR +incdir+../T1-common/include/ 
Note: See TracChangeset for help on using the changeset viewer.