Changeset 26 in XOpenSparcT1 for trunk/sim/simula.do


Ignore:
Timestamp:
04/04/11 11:58:11 (13 years ago)
Author:
pntsvt00
Message:

checkpoint: baco con store consecutivi

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/sim/simula.do

    r22 r26  
    11#start with: vsim -c -do simula.do 
    22 
     3set DEFINE +define+DEBUG+FPGA_SYN 
     4#+FPGA_NEW_IRF 
     5set INCLUDEDIR +incdir+../T1-common/include/ 
    36vlib work 
    47 
     
    710#Compile all modules# 
    811 
    9 vlog +incdir+../T1-common/include/ ../T1-common/common/*.v 
    10 vlog +incdir+../T1-common/include/ ../Top/*.v 
    11 vlog +incdir+../OC-UART +incdir+../T1-common/include/ ../OC-UART/*.v 
    12 vlog +incdir+../T1-common/include/ ../NOR-flash/*.v 
    13 vlog +incdir+../T1-common/include/ ../os2wb/*.v 
    14 vlog +incdir+../T1-common/include/ ../T1-common/m1/*.V 
    15 vlog +define+FPGA_SYN +incdir+../T1-common/include/ ../T1-common/srams/*.v 
    16 vlog +incdir+../T1-common/include/ ../T1-common/u1/*.V 
    17 vlog +incdir+../T1-common/include/ ../T1-FPU/*.v 
    18 vlog +incdir+../T1-common/include/ +incdir+../WB ../WB/*.v 
    19 vlog +incdir+../T1-common/include/ ../WB2ALTDDR3/*.v 
    20 vlog +incdir+../T1-common/include/ ../Xilinx/*.v 
    21 vlog +incdir+../T1-common/include/ ../T1-CPU/exu/*.v 
    22 vlog +incdir+../T1-common/include/ ../T1-CPU/ffu/*.v 
    23 vlog +incdir+../T1-common/include/ ../T1-CPU/ifu/*.v 
    24 vlog +incdir+../T1-common/include/ ../T1-CPU/lsu/*.v 
    25 vlog +incdir+../T1-common/include/ ../T1-CPU/mul/*.v 
    26 vlog +incdir+../T1-common/include/ ../T1-CPU/rtl/*.v 
    27 vlog +incdir+../T1-common/include/ ../T1-CPU/spu/*.v 
    28 vlog +incdir+../T1-common/include/ ../T1-CPU/tlu/*.v 
     12vlog  $DEFINE $INCLUDEDIR ../T1-common/common/*.v 
     13vlog  $DEFINE $INCLUDEDIR ../Top/*.v 
     14vlog  $DEFINE +incdir+../OC-UART $INCLUDEDIR ../OC-UART/*.v 
     15vlog  $DEFINE $INCLUDEDIR ../NOR-flash/*.v 
     16vlog  $DEFINE $INCLUDEDIR ../os2wb/*.v 
     17vlog  $DEFINE $INCLUDEDIR ../T1-common/m1/*.V 
     18vlog  $DEFINE $INCLUDEDIR ../T1-common/srams/*.v 
     19vlog  $DEFINE $INCLUDEDIR ../T1-common/u1/*.V 
     20vlog  $DEFINE $INCLUDEDIR/ ../T1-FPU/*.v 
     21vlog  $DEFINE $INCLUDEDIR +incdir+../WB ../WB/*.v 
     22vlog  $DEFINE $INCLUDEDIR ../WB2ALTDDR3/*.v 
     23vlog  $DEFINE $INCLUDEDIR ../Xilinx/*.v 
     24vlog  $DEFINE $INCLUDEDIR ../T1-CPU/exu/*.v 
     25vlog  $DEFINE $INCLUDEDIR ../T1-CPU/ffu/*.v 
     26vlog  $DEFINE $INCLUDEDIR ../T1-CPU/ifu/*.v 
     27vlog  $DEFINE $INCLUDEDIR ../T1-CPU/lsu/*.v 
     28vlog  $DEFINE $INCLUDEDIR ../T1-CPU/mul/*.v 
     29vlog  $DEFINE $INCLUDEDIR ../T1-CPU/rtl/*.v 
     30vlog  $DEFINE $INCLUDEDIR ../T1-CPU/spu/*.v 
     31vlog  $DEFINE $INCLUDEDIR ../T1-CPU/tlu/*.v 
    2932 
    3033#Compile files in sim folder (excluding model parameter file)# 
Note: See TracChangeset for help on using the changeset viewer.