Changeset 26 in XOpenSparcT1 for trunk/sim/simula.do
- Timestamp:
- 04/04/11 11:58:11 (14 years ago)
- File:
-
- 1 edited
Legend:
- Unmodified
- Added
- Removed
-
trunk/sim/simula.do
r22 r26 1 1 #start with: vsim -c -do simula.do 2 2 3 set DEFINE +define+DEBUG+FPGA_SYN 4 #+FPGA_NEW_IRF 5 set INCLUDEDIR +incdir+../T1-common/include/ 3 6 vlib work 4 7 … … 7 10 #Compile all modules# 8 11 9 vlog +incdir+../T1-common/include/../T1-common/common/*.v10 vlog +incdir+../T1-common/include/../Top/*.v11 vlog +incdir+../OC-UART +incdir+../T1-common/include/../OC-UART/*.v12 vlog +incdir+../T1-common/include/../NOR-flash/*.v13 vlog +incdir+../T1-common/include/../os2wb/*.v14 vlog +incdir+../T1-common/include/../T1-common/m1/*.V15 vlog +define+FPGA_SYN +incdir+../T1-common/include/../T1-common/srams/*.v16 vlog +incdir+../T1-common/include/../T1-common/u1/*.V17 vlog +incdir+../T1-common/include/ ../T1-FPU/*.v18 vlog +incdir+../T1-common/include/+incdir+../WB ../WB/*.v19 vlog +incdir+../T1-common/include/../WB2ALTDDR3/*.v20 vlog +incdir+../T1-common/include/../Xilinx/*.v21 vlog +incdir+../T1-common/include/../T1-CPU/exu/*.v22 vlog +incdir+../T1-common/include/../T1-CPU/ffu/*.v23 vlog +incdir+../T1-common/include/../T1-CPU/ifu/*.v24 vlog +incdir+../T1-common/include/../T1-CPU/lsu/*.v25 vlog +incdir+../T1-common/include/../T1-CPU/mul/*.v26 vlog +incdir+../T1-common/include/../T1-CPU/rtl/*.v27 vlog +incdir+../T1-common/include/../T1-CPU/spu/*.v28 vlog +incdir+../T1-common/include/../T1-CPU/tlu/*.v12 vlog $DEFINE $INCLUDEDIR ../T1-common/common/*.v 13 vlog $DEFINE $INCLUDEDIR ../Top/*.v 14 vlog $DEFINE +incdir+../OC-UART $INCLUDEDIR ../OC-UART/*.v 15 vlog $DEFINE $INCLUDEDIR ../NOR-flash/*.v 16 vlog $DEFINE $INCLUDEDIR ../os2wb/*.v 17 vlog $DEFINE $INCLUDEDIR ../T1-common/m1/*.V 18 vlog $DEFINE $INCLUDEDIR ../T1-common/srams/*.v 19 vlog $DEFINE $INCLUDEDIR ../T1-common/u1/*.V 20 vlog $DEFINE $INCLUDEDIR/ ../T1-FPU/*.v 21 vlog $DEFINE $INCLUDEDIR +incdir+../WB ../WB/*.v 22 vlog $DEFINE $INCLUDEDIR ../WB2ALTDDR3/*.v 23 vlog $DEFINE $INCLUDEDIR ../Xilinx/*.v 24 vlog $DEFINE $INCLUDEDIR ../T1-CPU/exu/*.v 25 vlog $DEFINE $INCLUDEDIR ../T1-CPU/ffu/*.v 26 vlog $DEFINE $INCLUDEDIR ../T1-CPU/ifu/*.v 27 vlog $DEFINE $INCLUDEDIR ../T1-CPU/lsu/*.v 28 vlog $DEFINE $INCLUDEDIR ../T1-CPU/mul/*.v 29 vlog $DEFINE $INCLUDEDIR ../T1-CPU/rtl/*.v 30 vlog $DEFINE $INCLUDEDIR ../T1-CPU/spu/*.v 31 vlog $DEFINE $INCLUDEDIR ../T1-CPU/tlu/*.v 29 32 30 33 #Compile files in sim folder (excluding model parameter file)#
Note: See TracChangeset
for help on using the changeset viewer.